VIVADO设置使用Notepad++,解决不自动识别语言种类问题,设置verilog语法检查

 一、Vivado设置使用Notepad++

1.打开Vivado 2018.2

2.点击菜单栏中的“Tools”,在下拉菜单中选择“Settings…”;在弹出的窗口中左边的菜单栏点击“Text editor”,在第一行的“Current Editor”复选框中选择“Custom Editor”(注意不是选择Notepad++)

3.在Editor栏中填写notepad++的安装路径 + 空格 + [file name]+空格+ -n[linenumber]
注意:
(1)最后一定把 -l[linenumber]改为 -n[linenumber],这样notepad++就会自动识别编程语言种类。

(2)安装路径中的斜杠是双左斜杠,如果直接复制需要将右斜杠改为左斜杠。
(3)一定要加空格

4.点击"OK",即可完成配置

二、Notepad++设置verilog语法检查

1.Notepad++安装NppExec插件,点击菜单栏“插件”,选择“插件管理”

2.选择“可用”,勾选“NppExec”,点击“安装”

3.在安装NppExec完成后,点击“插件”--“NppExec”--“Execute NppExec Script”或者按F6新建命令脚本,输入如下脚本命令

cmd /k cd "$(CURRENT_DIRECTORY)" &D:\Xilinx\Vivado\2018.2\bin\xvlog.bat "$(FULL_CURRENT_PATH)" & ECHO. & EXIT(直接复制,注意不要删掉空格,xvlog.bat前的路径为vivado安装路径),保存。

4.配置错误提示跳转,该功能支持双击Error提示跳转到错误行。
在notepad++中选择 插件->NppExec->Console Output Filters->HightLight添加对应的正则表达式

输入*ERROR: [*[%ABSFILE%:%LINE%](可直接复制),点击OK完成设置。

配置完成后即可调用VIVADO语法检测工具进行verilog语法检测。

5.建议勾选:插件---NppExec---No internal messages,编译窗口的信息更简洁。
6.建议勾选:插件---NppExec---Save all files on execute,按F6编译时,插件会先自动保存再编译。

7.使用方法,按F6,点击OK便可进行Verilog语法检查。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值