Verilog Task&Generate


1.task

task主要用来实现一些简单的功能,方便多次调用,要明白task只是负责处理而不带有任何连线的功能
同时有一些特性

  • input和output不是强制拥有的
  • task可以调用自身或者其他task
  • 调用task时候只能在always里
  • task内部不可以使用always和initial,assign
task task_id
	//input&output
	
	//task function
	
endtask

2.generate

目前对于generate的用法局限于复制module,task操作
用起来很方便

generate 
	genvar i;
	for(;;)begin :generate_id
		//generate function
	end
endgenerate
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值