Verilog `include语句

这篇博客详细介绍了Verilog中的`include语句,包括其定义和多种使用方法,如绝对路径、相对路径的引用,以及注意事项如无需在末尾加";",并讨论了`include的优先级问题,提醒读者在处理多个文件包含时的常见问题。
摘要由CSDN通过智能技术生成


一、`include定义

可以使用这个语句进行文件包含,一般在被包含的文件里定义一些常用的task和`define方便后续的使用

二、`include使用方法

1.`include “绝对路径”

这样写是万无一失的,但是会相对比较麻烦

2.`include “相对路径”

这样写是比较建议的,因为在VScode里容易出现这样的情况,
一个文件夹内有多个工程,每个工程都有对应的文件夹,这时候如果使用`include "xx.v"来包含文件,可能会出现报错,需要指定相对路径

3.`include “文件名”

不推荐的写法,这样写需要把文件放在同一个目录下,而且有时候虽然在同一个目录下,编译还是会出现问题

4.`include “XX"后续不需要加上”;"

5.`include 多个文件

无法一次性include多个文件,需要使用多条include语句来实现

6.`include 优先级问题

假定有以下语句
在文件file.v内有

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值