vivado使用modelsim仿真

vivado

修改vivado默认仿真器

  1. 将tools中的setting,project setting使用的仿真器更改为modelsim
    请添加图片描述

  2. 在setting的3rd Party Simulators选择modelsim
    请添加图片描述

将vivado中的IP编译成modelsim库

  1. 新建编译库文件的文件夹,建议将xilinx ip编译库建在modelsim其他IP库的同一文件下,我的时xilinx_compile_lib,如下:
    请添加图片描述
  2. 编译xilinx ip库,选择tools中的Compile simulation libraries 。其中,需要指定三个路径(2020.2以上的版本,低于此版本只需要指定前两个路径),第一个为刚才新建的编译库完成之后放的地址,第二个为modelsim.exe所在地址,第三个为GCC地址,选择vivado自带的GCC编译器,参考目录:D:\vivado\Vivado\2020.2\tps\w
  • 0
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

技术考古员

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值