vivado 一次性设置多线程编译

8 篇文章 0 订阅
3 篇文章 1 订阅

设置多线程的命令为: set_param general.maxThreads 4
读取当前线程数的命令: 为get_param general.maxThreads

需修改一个文件:
在ug893中有说明关于vivado环境配置的文件
Outputs for Environment Configuration
文章中有说明:
Vivado_init.tcl
Note: In Vivado Design Suite2016.4 and earlier releases, thefile name is init.tcl.

文件路径:
Software installation directory: installdir/Vivado/version/scripts/Vivado_init.tcl
•Local user directory:
○Windows:%APPDATA%/Xilinx/Vivado/Vivado_init.tcl
○Linux:$HOME/.Xilinx/Vivado/Vivado_init.tcl

在ug835中有关于这个tcl 文件的相关说明:
Scripting in Tcl
Tcl Initialization Scripts

No Vivado_init.tclfile is provided with the Vivado Design Suite installation. You mustcreate the Vivado_init.tclfile and place it in either the installation directory, or yourhome directory, as discussed to meet your specific needs.

就是说在指定的位置如果找不到就可以自己创建一个文件(init.tcl)以vivado2016.4为例:
创建一个init.tcl
里面写入:
set_param general.maxThreads 8
保存即可。

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Vivado中的多线程编译是通过tcl脚本进行设置的。目前Vivado最大可使用的线程数是8个\[1\]。使用多个线程可以加快编译速度,但效果并不是非常明显\[2\]。对于单个design run,jobs的数量不会影响编译速度\[2\]。在多个design runs时,jobs的数量是当前可以同时运行的design run的个数\[2\]。在Windows中,默认的线程数是2,可以通过tcl脚本来改变线程数,但每次打开工程后都需要重新设置\[2\]。在Windows开发中,可以使用tcl指令将最大线程数改为8,但每次在执行编译之前都需要输入一次\[3\]。因此,使用多线程编译可以提高编译速度,但具体效果取决于具体的设计和系统环境。 #### 引用[.reference_title] - *1* *2* [vivado多线程编译设置,加快编译速度](https://blog.csdn.net/weixin_43668420/article/details/127203157)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [vivado设置多线程编译](https://blog.csdn.net/wordwarwordwar/article/details/78245352)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值