VIVADO多线程编译、增量编译设置、综合策略实现策略(提高编译速度)

我们都知道vivado编译工程是有多慢,然鹅工作时跑工程等待的心力交瘁又得不到老板的赏识,反而可能认为你在磨洋工。其实提高vivado编译速度的最可靠的方法是换一台牛逼点的服务器或超高配的工作站。估计这个可能没人愿意买单。其实在不改变电脑的情况下我们也可以提高编译速度。以下简单列举几种方法:
(1)设置自己的综合策略、实现策略;
(2)采用增量编译法;(大约节省1/3的时间)
(3)设置多线程编译;(大约节省1/5的时间)
多线程编译法:
A.获取当前编译线程数:get_param general.maxThreads
B.设置多线程编译:set_param general.maxThreads n(n指的是设置的具体线程数,比如n=8,即是设置8线程;当然如果不知道自己电脑最大线程是多少可以每次加1直到最大。)
增量编译法:
A.首先完成非增量编译,获得参考的布局布线dcp文件;
B.当逻辑修改较小时(一般小于5%左右时),需重新出版本,采用增量编译;
C.按步骤打开:implementation setting→options→incremental compile浏览dcp文件
D.选择,runs/impl_1/*.dcp
E.点击run implementation,等待结果。
综合策略和实现策略:
改天详细写该部分,该部分还比较麻烦

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值