vivado 设计约束学习笔记1

学习手册 UG949
对更加详细的约束信息参照 UG906 UG903

1.组织管理约束文件
对于简单的工程,我们可以按照下面的方法组织我们的约束文件:

  • 直接采用一个约束文件;
  • 物理约束+时序约束
  • 物理约束+时序约束(综合)+ 时序约束(实现)

对于大型的项目或者是多个团队合作实现的约束可以采用下面的方法:

  • 一个顶层的物理约束+一个顶层的时序约束+对每个IP的约束或者每个模块的时序约束

如果一个工程中存在多个约束文件,那么此时需要注意约束文件的编译顺序:

  • 在工程模式下可以使用reorder_files tcl命令改变约束文件的编译顺序,
  • 在非工程模式下约束文件的执行顺序直接由read_xdc和source 的顺序所决定。

ug949 P151 打开实际的工程进行测试

Defining Clock Constraints 时钟约束:

Identifying Clock Sources

Clock Networks Report 报告工程中的时钟网络:

其中包括可以报告未添加约束的时钟!!!
命令:

report_clock_networks

这个命令可以报告出未添加约束的时钟网络,
example:

Clock Networks Report

Constrained Clocks
-------------------

Clock clk_fpga_0 (50MHz)(endpoints: 0 clock, 1 nonclock)
PS7/FCLKCLK[0] (processing_system_i/processing_system7_0/inst/PS7_i)

Unconstrained Clocks
-------------------
Clock osc_clk (endpoints: 38 clock, 0 nonclock)
Port osc_clk

在上面的例子中可以看到在报告中已经指出哪些时钟已经添加了约束,哪一些没有添加约束(osc_clk)。

Check Timing Report

在这个报告中的no_clock 不懂

check_timing -override_defaults no_clock

result:

check_timing report

Table of Contents
-----------------
1. checking no_clock

1. checking no_clock
--------------------
 There are 38 register/latch pins with no clock driven by root clock pin: osc_clk (HIGH)

  • 1
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado是一款常用的FPGA开发工具,可以用来设计和实现电子电路。根据引用[1]和引用的描述,可以使用Vivado开发环境实现一个能显示小时分秒以及闹钟功能的电子钟。具体步骤如下: 1. 首先,需要使用Verilog编程语言编写电子钟的代码。根据引用和引用的描述,可以将电子钟的功能分为三个子模块:alarm(闹钟模块),clock(时钟模块)和control(控制模块)。顶层模块将这三个子模块连接在一起,并实现显示和设置时间的功能。 2. 在Vivado中创建一个新工程,并将编写好的Verilog代码添加到工程中。 3. 使用Vivado的综合工具将Verilog代码综合成逻辑电路的网表。 4. 使用约束文件指定FPGA芯片上的引脚分配和时钟约束。 5. 使用Vivado的布局和布线工具对电路进行布局和布线。 6. 使用Vivado的时序分析工具对电路进行时序分析,确保电路的时序满足设计要求。 7. 使用Vivado的生成比特流工具将布线后的电路生成比特流文件。 8. 将比特流文件下载到目标FPGA芯片上进行验证和测试。 综上所述,可以使用Vivado开发环境来设计和实现一个能显示小时分秒以及闹钟功能的电子钟。具体的步骤包括编写Verilog代码、综合、布局和布线、时序分析以及生成比特流文件等操作。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [使用verilog实现一个电子钟,能显示小时分秒以及闹钟功能,在vivado中开发,纯verilog编程](https://download.csdn.net/download/ccsss22/85312195)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [利用vivado实现FPGA的数字时钟.zip](https://download.csdn.net/download/m0_45937406/18816022)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [FPGA入门学习笔记(十六)Vivado设计基于串口校时的数字钟](https://blog.csdn.net/weixin_45463952/article/details/129633228)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值