数字IC设计笔试面试题总结(二)

1、边沿检测(实现对信号上升沿或下降沿进行检测)

//上升沿检测
`timescale 1ns/1ps
module  edge_detect(
	//system signals
	input				clk				, 
	input				rst_n			,
	input 				edge_signal     ,
	output				pulse
);
reg edge_reg0;
reg edge_reg1;
always @(posedge clk or negedge rst_n) begin
	if (!rst_n) begin
		edge_reg0 <= 1'b0;
		edge_reg1 <= 1'b0;
	end
	else begin
		edge_reg0 <= edge_signal;
		edge_reg1 <= edge_reg0;
	end
end
assign pulse = ((~edge_reg1)&edge_reg0)?1'b1:1'b0;
endmodule

2、帧头检测(在通信中的帧同步中有应用)

     题目描述:帧头frame_head来脉冲同时data_in会来数据,连续三次的数据都是8'h33时就输出一个脉冲。

`timescale 1ns/1ps
module frame_detect (
	//system signals
	input				clk				, 
	input				rst_n			,
	input               frame_head      ,
	input               [7:0]data_in    ,
	output             	pulse           
);
reg out;
reg [1:0] state;
reg pulse_f
  • 0
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值