【EDA Synopsys工具】Design Compiler基础使用

视频笔记,来源b站

  1. 打开DC
dc_shell  #命令行模式,后续操作基于该模式下
design_vision #这是有GUI界面的
  1. 读取Verilog文件
read_verilog count_6.v 
  1. 建立库
#设置连接库(link library)
set_app_var link_library  smic18_ff.db  #台积电的用例库
#设置工艺库(target library)
set_app_var target_library  smic18_ff.db
check_design #检查模块情况,是否存在错误,此处可检查一下
  1. 时序约束
#设置时钟周期
create_clock "$clk" -period 10 #设置时钟周期为10ns,$clk与module的时钟保持一致
#设置clock_skew
set_clock_uncertainty -setup 0.15 [get_ports $clk] #此处$clk同上要求
#设置传输时延
set_clock_transistion 0.12 [get_clocks $clk]
#设置输入时延
set_input_delay -max 3 -clock $clk [get_ports $other_input] # $other_input为除了clk外其他输入端口
#设置输出时延
set_output_delay -max 3 -clock $clk [all_outputs] # all_outputs表示所有的输出端口
check_design #检查模块情况,是否存在错误,此处可再次检查一下
  1. 编译
compile
  1. 报告
#时序报告
report_timing  #可以从slack中返回上一步的create_clock "$clk" -period 10 设置合理的时钟周期。MET为合理;VIOLATED为不合理
#时钟信息报告
report_clock
  1. 输出文件
#输出约束条件
write_sdc xxx.sdc
#输出时延信息
write_sdf xxx.sdf
#输出网表文件
write_file -format verilog -output xxx_netlist.v
  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值