初识OSC

OSC的种类

OSC(oscillator)有三种:环形振荡器、差动振荡器、LC振荡器。

差动振荡器也就是我们收音机里面的晶体振荡器,这种噪声和精准度是最好的,但是在CMOS制程里面无法兼容cost会很高。

LC振荡器,其实就是电感和电容,那电容自然就是要做PIP电容或者MiM电容,而电感就需要厚Metal而且宽Metal窄Space,这样的制程要求是很高的,自然Cost就高的去了。

所以,普遍采用CMOS环形振荡器实现频率控制,当然它的弱点就是比较差的跳动特性(poor jitter performance)。

Ring OSC 的工作原理

环形振荡器的结构必须是有一串奇数个串联连接的反相器(Invertor)构成一个闭环回路(也就是最后一个输出恰好是最初的输入),如下图。如果初始触发时候给为“1”,那最后输出就是“0”,然后输入自然也就是“0”,然后输出又变成“1”,如此实现“0”和“1”的固定频率交替振荡输出。(不过通常我们在振荡器的输出端都会由于逐阶振荡导致输出信号减弱,所以我们需要在输出端增加两个反相器串联的单元电路,叫做缓冲器也叫做output driver,这样可以弥补信号损失提高噪声容限。)

那这个频率如何掌控?

我们知道从输入到输出每个反相器之间传输一定有个时间反应也叫延迟,所以从“0”到“1”的切换时间取决于从第一阶到最后一阶的全部延迟总和,所以这个频率取决于什么就一目了然了,中间反相器的个数!而实际情况是,每家FAB制程的MOS寄生电容不一样,所以这个频率自然就不一样,那如果要实现同样的频率,肯定越少反相器数量越有竞争力咯。如果每个反相器的反应时间是tp,那么该振荡器的周期是2*n*tp (n就是反相器的个数)。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值