序列检测器,检测11101000

说明:最近学了用verilog写序列检测器,作为新手,试着写点自己的经验;
1、序列检测器
设计一个检测序列“11101000”的序列检测器,检测到后输出一个时钟周期的正脉冲;
(1)

源码
module seq_detect (
	input   clk,
	input 	rst_n,
	input   data_in,
	output 		wire sout
);
	parameter s0=0,s1=1,s2=2,s3=3,s4=4,s5=5,s6=6,s7=7,s8=8;  // 状态机的不同状态
	
	reg [3:0]   current_state;  //现状态
	reg [3:0] 	next_state; //次状态
	
always @(posedge clk or negedge rst_n) 		begin
		if(!rst_n)
			current_state <=
  • 14
    点赞
  • 85
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值