sv lab2学习记录

sv lab2学习记录

  1. 包的数据解析
    在这里插入图片描述
    假如设计有bug,数据经过arbit到format出来,数据可能会缺失或者被打乱了。此时如果前面输入数据的内容没有将其变得有规律,就会导致输出的数据不知道从哪里来的,为什么数据不完整,难以回溯到根上,也就很难去调试。
  1. fork join_none 三个同时执行,点火了之后立即跳出去执行下面的。不等他们中的任意一个结束。在这里插入图片描述
    但是要注意fork join_none点火之后要注意在某个点要关掉它。 disable ****
    在这里插入图片描述
  1. 注意这个很容易错。
    在这里插入图片描述
  1. class 中声明接口必须加virtual,否则报错。
    在这里插入图片描述
  1. class中,很多时候明明已经在new中申明了一些变量,为什么还需要放到方法里去赋值?
    因为这些方法是预留的,如果外部需要修改这些变量,则只需要通过这些方法来修改变量。
    在这里插入图片描述
  1. 最底层,即真正用到接口的initator的set_interface最刚,一旦判断句柄悬空则报错。
    而中间层,比如agent,则直接传递,不需要判断是否为空句柄。
    在这里插入图片描述
  1. 当端口和外部信号名字一样的时候,可以用(.*) 来连接,简单高效。<verilog语法>
    在这里插入图片描述
  1. 一些琐碎
    #=======
    new(): 帮助构建环境,构建层次,例化组件。
    run(): 让各个组件能够运行起来
    #=======
    子test继承了root_test的构建环境,层次结构
    变化的是发送的激励的内容
    #=======
    agent:标准组件单元,包括:
    1.generator
    2.driver(initiator)
    3.monitor(tb4中暂时没有)
    #=======
    接口interface上有数据传递数据,new()上也有数据传递
    => interface传递的就是外部传进来或者传出去的总线数据,是真正与DUT连接的数据
    => 而new()内的数据传递,仅仅是传递的类似参数,比如ID,name,中间data.
    => 相当于做了隔离。一个是要与外部交互的数据(interface),一个是内部各组件用的数据(new()中)
    #=======
    层次化
    A. 关于接口的传递
    在tb中看到软件最高的层次对象是3个test,所以test里边要有set_interface,
    (顶层组件)test里有set_interface,通过set_interface将接口的指针vif传递给agent,
    (中间层组件)agent也有set_interface,通过set_interface将接口的指针vif传递给init,
    (底层组件)init里也有set_interface,通过set_interface将接口的指针vif拿过来用,因为它需要传递数据,而在最底层,需要判断接口指针是否为空。
    => 自顶层一层一层传递接口 层层递进
    B.关于new()层次化
    test里的new调用(创建)了agent里的new(),
    而agent的new()又调用(创建)了gene和init的new
    => 自顶层一层一层传递实例 层层递进
    C.关于run()的层次化;
    test里的run()调用agent的run()
    而agent里的run()是让数据发送起来
    => 运行环境 自顶层的run一层一层调用各个组件的run
    #=======
    需要在最顶层的initial中
    1.例化最顶层的new()
    2.调用接口(传递最外部的接口)
    3.调用最顶层的run()
  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Ethan_WC

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值