比较器verilog

难点1:输出
输入a、b,如果a>b 输出1
如果a<b时 输出0,那么a==b时,输出是什么呢?

答案:
1、可以输出ab’(a为1 b为0 a>b) a’b(a为0 b为1 a<b) ab(ab都是1 相等)

2、a<=b ,输出0

难点2:不知道如何判定执行条件;不知道always怎么用

module comparer;
input  [3:0] a;
input [3:0] b;
output [2:0] y;
reg [2:0] y;
always@(*)
begin//容易忘了写,要记住,if里面有多种判定的时候,需要使用begin和end
	if (a>b)
		y=3'b001;
	else 
	begin//这里容易忘记加begin,再多个过程中,需要加begin,和c语言不一样
		if (a==b)
			y=3'b010;
		else 
			y=3'b011;
	end
end
endmodule
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值