FPGA-System Generator生成FIR插值滤波器

一、需求背景

  • 需要对不同速率的基带信号进行插值后输出到射频。时钟分频 x2、x4、x8、x16
    识别控制指令切换插值倍数。
    采用归一化设计,后面直接复用IP即可

二、软件版本

  • vivado 2015.4
  • matlab 2014b

三、硬件平台

  • zynq7045 + ad9371

四、搭建链路仿真

1、启动system generator
2、新建slx或者mdl链路
3、链路总视图

在这里插入图片描述
4、System Generator主要参数设置
在这里插入图片描述

5、DDS设置(固定输出2MHz)

在这里插入图片描述
6、FDATool
在这里插入图片描述
7、FIR滤波器设计
系数设置:直接调用FDATool,指令为 xlfda_numerator(‘FDATool’)
插值2倍
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
9、仿真结果查看
加粗样式
10、另存链路进行IP生成
删除多余的模块和调试接口
添加输入输出接口
在这里插入图片描述
11、设置参数生成IP## 标题
在这里插入图片描述
12、Vivado工程中导入system generator生成的IP并查看
在这里插入图片描述
13、modelsim仿真2倍插值结果查看
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值