Vivado HLS生成IP核报错的解决方案

问题描述

使用Vivado HLS过程中点击Export RTL报错 Failed to generate IP
具体错误如下,213-28似乎是错误代码

ERROR: [IMPL 213-28] Failed to generate IP.

请添加图片描述

解决方案

Xilinx官网支持社区 搜索错误代码213-28,可以看到官方已经给出了解决方案

在这里插入图片描述
点击第一个链接 Export IP Invalid Argument / Revision Number Overflow Issue (Y2K22).

机翻一下链接内的Solution
在这里插入图片描述
下载最后给出的补丁包,解压到Xilinx安装位置,我这里是D:\Xilinx,然后删去压缩包,只留y2k22_patch文件夹

最后D:\Xilinx目录下的文件夹如下

在这里插入图片描述
进入y2k222_patch文件夹,可以找到一个Readme文件,用Vscode打开,关键内容如下

* Applying patch with Python installed separately
If you have python installed on your system, you can run the patch.py as following (given python is in your path)
python y2k22_patch/patch.py

* 2014 - 2018 (inclusive)
  You must have python version 2.7.5 or later installed.
  Assuming python is in the path, this patch can be applied to both Windows and Linux by running:
  python y2k22_patch\patch.py

* 2019.1
  On Windows run:
  Vivado\2019.1\tps\win64\python-2.7.5\python.exe y2k22_patch\patch.py

  On Linux run:
  export LD_LIBRARY_PATH=$PWD/Vivado/2019.1/tps/lnx64/python-2.7.5/lib/
  Vivado/2019.1/tps/lnx64/python-2.7.5/bin/python2.7 y2k22_patch/patch.py

2019.2
  On Windows run:
  Vivado\2019.2\tps\win64\python-2.7.5\python.exe y2k22_patch\patch.py

  On Linux run:
  export LD_LIBRARY_PATH=$PWD/Vivado/2019.2/tps/lnx64/python-2.7.5/lib/
  Vivado/2019.2/tps/lnx64/python-2.7.5/bin/python y2k22_patch/patch.py

* 2020.1
  On Windows run:
  Vivado\2020.1\tps\win64\python-2.7.16\python.exe y2k22_patch\patch.py

  On Linux run:
  export LD_LIBRARY_PATH=$PWD/Vivado/2020.1/tps/lnx64/python-2.7.16/lib/
  Vivado/2020.1/tps/lnx64/python-2.7.16/bin/python y2k22_patch/patch.py

* 2020.2
  On Windows run:
  Vivado\2020.2\tps\win64\python-3.8.3\python.exe y2k22_patch\patch.py

  On Linux run:
  export LD_LIBRARY_PATH=$PWD/Vivado/2020.2/tps/lnx64/python-3.8.3/lib/
  Vivado/2020.2/tps/lnx64/python-3.8.3/bin/python y2k22_patch/patch.py

* 2020.3
  On Windows run:
  Vivado\2020.3\tps\win64\python-3.8.3\python.exe y2k22_patch\patch.py

  On Linux run:
  export LD_LIBRARY_PATH=$PWD/Vivado/2020.3/tps/lnx64/python-3.8.3/lib/
  Vivado/2020.3/tps/lnx64/python-3.8.3/bin/python3 y2k22_patch/patch.py

* 2021.1
  On all Linux Flavors (Centos, RHEL, Ubuntu 18,… ) where python version 3.8.3 used, follow the  instructions below
     export LD_LIBRARY_PATH=$PWD/Vivado/2021.1/tps/lnx64/python-3.8.3/lib/
     Vivado/2021.1/tps/lnx64/python-3.8.3/bin/python3 y2k22_patch/patch.py
  On Windows run:
    Vivado\2021.1\tps\win64\python-3.8.3\python.exe y2k22_patch\patch.py


* 2021.2
  On all Linux Flavors (Centos, RHEL, Ubuntu 18,… ) where python version 3.8.3 used, follow the  instructions below
     export LD_LIBRARY_PATH=$PWD/Vivado/2021.2/tps/lnx64/python-3.8.3/lib/
     Vivado/2021.2/tps/lnx64/python-3.8.3/bin/python3 y2k22_patch/patch.py
  On Windows run:
    Vivado\2021.2\tps\win64\python-3.8.3\python.exe y2k22_patch\patch.py

简单来说,就是首先确保本机有python环境,python版本高于2.7.5
然后根据Vivado版本号执行对应的脚本命令即可

由于我的Vivado是2018.3版本的
所以进入D:\Xilinx目录,打开cmd命令行,执行以下命令即可

python y2k22_patch\patch.py

运行结果如图所示,和官网上给出的成功输出相同,即成功解决这个问题

在这里插入图片描述
最后重启Vivado HLS,试试重新导出IP核,不再报错,说明这个问题已经解决了

在这里插入图片描述

  • 19
    点赞
  • 38
    收藏
    觉得还不错? 一键收藏
  • 17
    评论
要修改Vivado HLS中的IP核名字,可以按照以下步骤进行操作: 1. 打开Vivado HLS软件,并加载你的工程文件。 2. 在左侧项目导航栏中选择"Solution"选项卡,然后展开你的项目。在展开的列表中,找到你要修改的IP核。 3. 右键点击该IP核,在弹出的菜单中选择"Properties"选项。 4. 在弹出的属性窗口中,你可以看到IP核的各种属性,包括名字。在名字字段中修改IP核的名字为你想要的名字。 5. 确认修改后,点击"OK"按钮保存修改。 通过以上步骤,你就可以成功修改Vivado HLSIP核的名字了。请注意,修改IP核的名字后,你可能需要对工程进行重新综合和实现,以确保修改后的名字在生成的HDL代码中生效。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [vivado HLS 导出IP核失败](https://blog.csdn.net/Wangsang_629/article/details/130277773)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [Vivado HLS介绍及IP核的设计流程](https://blog.csdn.net/CSD_N_csdn/article/details/107546602)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 17
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值