2020-09-08 Vivado可综合的RAM

vivado可综合的blockRAM的代码风格

在Vivado中我们很多时候自己写的RAM都不能被Vivado所识别,即使加上(*ram_style="block"*),也可能无法得到想要的结果,下面的代码经过笔者的验证是可以综合成block ram的

module ram_512_new (clk,r_en,wr_addr,wr_data,wr_en,rd_addr,rd_data);
input clk,r_en;
input [8:0] wr_addr;
input [31:0] wr_data;
input wr_en;
input [8:0] rd_addr;
output reg [31:0] rd_data;

reg [31:0] memory[511:0];
always @ (posedge clk)
begin
if(wr_en)
memory[wr_addr] <= wr_data;
end
always @ (posedge clk)
begin
if(r_en)
    rd_data<=memory[rd_addr];
else    rd_data<=32'bz;
end
endmodule

 

综合结果如下所示:

 

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值