modelsim脚本化仿真总结

modelsim脚本化仿真总结

Modelsim 相对于vivado自带的仿真器,仿真起来更快,效率更高,但是仿真带有IP核的文件时,需要额外加载对应的库文件,这一步容易搞混,导致仿真失败,之前也有总结过,这个月在用的时候算是弄清楚了来龙去脉,下面来总结一下使用Modelsim脚本仿真的流程。
1.1 modelsim 脚本框架

脚本在启动之后就可以自动执行了,效率比较高,不需要人为再操作GUI界面。下面是一个典型的modelsim脚本框架。

图1  典型modelsim仿真框架

vlib work 该命令的作用是在该目录下建立一个work目录。
vmap work work 该命令的作用是将目前的逻辑工作库work和实际工作库work映射对应。
vlog *.v 是编译当前目录下的所有verilog文件。
vsim 是启动仿真,-novopt是禁止modelsim优化,这样可以防止modelsim优化掉一些比较重要的信号。-gui则是以gui模式(也就是有界面)打开仿真。work. 后面接的就是仿真顶层文件的名字。
上面这个框架在设计中没有IP核的前提下,是完全可以套用的。当设计中存在IP核时,仿真所需的文件就可以分为如下几类:设计文件、仿真文件、IP的仿照网表文件(通常文件名为xxxxxxsim.v或者sim_netlist.v)、IP核相关的库文件。
设计文件和仿真文件与上面的框架中基本一样,仿真文件有时候可能是System verilog文件,编译时,应写为vlog .sv。
IP核的仿真网表文件一般在工程相应的文件夹中,Vivado的相应路径为
图2  Vivado IP仿真网表文件路径

IP核相关的库文件一般需要专门生成,Vivado 生成IP库文件的步骤可以参考这个链接https://blog.csdn.net/shuwei1990/article/details/116748672
在完成上述步骤后,将设计文件,仿真文件,IP核网表文件进行编译(在脚本中使用vlog 指令,这里可以将设计文件,仿真文件,IP核网表文件分别放在不同的文件夹),同时加载IP核的相应仿真库文件(-L指令,后面是相应的IP库文件路径)如下图所示:
在这里插入图片描述

注意要将工作路径切换到对应路径上(使用cd指令)。编写完后保存为do文件(如sim_all. do)。
然后将此do文件用modelism打开,打开后在modelsim命令行中输入:do xxxx.do后按回车,便可以按照脚本所编写的内容进行仿真。如下所示:

图4 在modelsim命令行中执行do文件

  • 0
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值