牛客网Verilog刷题——VL36

牛客网Verilog刷题——VL36

题目

  设计一个状态机,用来检测序列 10111,要求:
1、进行重叠检测 即10110111 会被检测通过2次
2、寄存器输出,在序列检测完成下一拍输出检测有效
  注意rst为低电平复位。

在这里插入图片描述

  波形示意图:

在这里插入图片描述

  输入输出描述:

信号类型输入/输出位宽描述
clkwireIntput1系统时钟信号
rst_nwireIntput1异步复位信号,低电平有效
datawireIntput1单比特串行输入数据
flagregOutput1检测通过信号

答案

  在题目中,要求使用状态机设计,也可以使用移位寄存器设计,下面我们分别给出了使用状态机进行序列检测与使用移位寄存器进行序列检测的代码。

`timescale 1ns/1ns

module sequence_test2(
	input wire clk  ,
	input wire rst  ,
	input wire data ,
	output reg flag
);
//*************code***********//

//状态机
reg       r_flag;
reg [3:0] curr_state;
reg [3:0] next_state;

localparam S0 = 4'b0001,
           S1 = 4'b0010,
		   S2 = 4'b0100,
		   S3 = 4'b1000;

always @(posedge clk or negedge rst)
  if(!rst)
    curr_state <= S0;
  else
    curr_state <= next_state;

always @(*)
  case(curr_state)
	S0: next_state = data ? S1 : S0;
	S1: next_state = data ? S1 : S2;
	S2: next_state = data ? S3 : S0;
	S3: next_state = data ? S1 : S2;
	default : next_state = S0;
  endcase

always @(posedge clk or negedge rst)
  if(!rst)
    r_flag <= 1'b0;
  else if(curr_state == S3 && data)
    r_flag <= 1'b1;
  else
    r_flag <= 1'b0;  

always @(posedge clk or negedge rst)
  if(!rst)
    flag <= 1'b0;
  else
    flag <= r_flag;

//移位寄存器
/*
reg [3:0] r_data;

always @(posedge clk or negedge rst)
  if(!rst)
    r_data <= 4'd0;
  else
    r_data <= {r_data,data};

always @(posedge clk or negedge rst)
  if(!rst)
    flag <= 1'b0;
  else if(r_data == 4'b1011)
    flag <= 1'b1;
  else
    flag <= 1'b0;
*/
//*************code***********//
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值