牛客网Verilog刷题——VL21

牛客网Verilog刷题——VL21

题目

  某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。
在这里插入图片描述
  电路的接口如下图所示。
在这里插入图片描述

信号类型输入/输出位宽
AwireIntput1
clkwireIntput1
rst_nwireIntput1
YwireOutput1

答案

`timescale 1ns/1ns

module seq_circuit(
      input                A   ,
      input                clk ,
      input                rst_n,
 
      output   wire        Y   
);

reg [1:0] curr_state; //Qn
reg [1:0] next_state; //Qn+1
reg       r_Y;

always @(posedge clk or negedge rst_n)
  if(!rst_n)
    curr_state <= 2'b00;
  else
    curr_state <= next_state;

always @(*)
    case(curr_state)
      2'b00: 
        begin 
          next_state = A ? 2'b11 : 2'b01;
          r_Y =0;
        end

      2'b01: 
        begin
          next_state = A ? 2'b00 : 2'b10;
          r_Y =0;
        end

      2'b10: 
        begin 
          next_state = A ? 2'b01 : 2'b11;
          r_Y =0;
        end

      2'b11: 
        begin
          next_state = A ? 2'b10 : 2'b00;
          r_Y =1;
        end
      default:;
    endcase

assign Y = r_Y;

endmodule
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值