UVM的phase机制(Ⅱ)

本节主要介绍uvm启动过程和phase机制实现原理。介绍的方式将从整个环境的入口函数run_test开始。

1、top_tb:run_test

我们的验证最顶层就是top_tb(harness), 在内部实例化dut,然后调用run_test函数,他会实例化一个脱离了top_tb层次结构的实例uvm_test_top,建立一个新的层次结构。这是uvm验证平台最顶层的入口。

​​​​module top();
    import uvm_pkg::*;
    ......
    initial begin
         run_test();
    end
    ......
endmoudle

这儿补充一下。无论传递给run_test什么参数,创建的实例名都为uvm_test_top。
但此处函数中没有参数,因为UVM提供对不加参数的run_test的支持。
UVM会利用UVM_TEST_NAME从命令行中寻找测试用例的名字, 
创建它的实例并运行。 如下所示的代码可以启动my_case0:
<sim command>
… +UVM_TEST_NAME=my_case0

2、uvm_root::run_test

这个run_test不是一个类的成员函数,是一个global task,内部调用uvm_root::run_test

//uvm_global
task run_test (string test_name="");
  uvm_root top;
  top = uvm_root::get();//创建了整个uvm_root,得到了uvm_topd顶层
  top.run_test(test_name);//调用uvm_root::run_test
endtask

uvm_root::run_test中,根据UVM_TESTNAME创建uvm_test_top对象,并且调用静态方法m_run_phases,开启了uvm_phase的启动

 $cast(uvm_test_top, factory.create_component_by_name(test_name,"", "uvm_test_top", 
null));
  // phase runner, isolated from calling process
  fork begin
    // spawn the phase runner task
    phase_runner_proc = process::self();
    uvm_phase::m_run_phases();
  end
  join_none
  #0; // let the phase runner start
  
  wait (m_phase_all_done == 1);

 3、uvm_phase::m_run_phases

m_phase_hopper是一个uvm_phase类型的mailbox,在m_run_phasez中,先将common domain的第一个phase(build_phase)put进入mailbox中。然后进入forever,不停的去get_phase,get成功后就去执行phase。在execute_phase中,会遍历所有uvm component tree上的所有组件,将所有组件的phase执行完毕。执行完毕后,退出execute_phase,将下一个类型的phase put进入mailbox。

先get_common_domain(),构建好整个UVM树。将他们依次放入m_phase_hopper(信箱)中,通过execute_phase来运转所有组件的phase。全部执行完毕,将下一种phase放入信箱,再执行。

task uvm_phase::m_run_phases();
  uvm_root top = uvm_root::get();

  // initiate by starting first phase in common domain
  begin
    uvm_phase ph = uvm_domain::get_common_domain();
    void'(m_phase_hopper.try_put(ph));
  end

  forever begin
    uvm_phase phase;
    m_phase_hopper.get(phase);
    fork
      begin
        phase.execute_phase();
      end
    join_none
    #0;  // let the process start running
  end
endtask

uvm启动过程及phase机制实现原理介绍 - 百度文库https://wenku.baidu.com/view/3f585d75ae02de80d4d8d15abe23482fb4da0266.html从源码角度来看UVM phase - 简书icon-default.png?t=M5H6https://www.jianshu.com/p/ac81d851992d

  • 1
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: uvm中的run_phase和main phase不同之处在于,run_phase是在测试框架执行期间由UVM调用,用于执行测试用例和收集结果,而main phase是在测试用例中使用的自定义阶段,用于执行测试用例的具体操作。因此,run_phase更加通用和模块化,可用于所有测试用例,而main phase需要根据测试用例的具体需求进行自定义。 ### 回答2: UVM(通用验证方法学)是一种广泛使用的硬件验证方法学,其基于SystemVerilog编程语言,提供了适用于对象化验证环境的类库。在UVM中,验证器将整个验证流程分为不同的验证阶段,其中最重要的是run_phase和main phase。 首先,UVM中的main phase是整个验证过程的核心,是UVM运行过程中的第一个验证阶段。在main phase中,测试程序必须准备好所有的交易并将其提交到队列中,使它们都准备好参与后续的验证过程。而且,main phase还需要定义和实例化验证环境并启动顶层测试,以及为整个测试过程创建管理类。 其次,UVM中的run_phase是验证过程的最后一个和最长的阶段。在run_phase中,UVM测试用例运行并执行有意义的测试。在这一阶段中,UVM必须在环境中创建和启动所有测试单元、中断和异常,并确保它们不会影响其他的测试单元。此外,测试程序还要创建并启动所有的UVC(通用验证组件),以便验证UVCs是否正确和有效。 总的来说,UVM中的run_phase和main phase是非常重要的验证阶段。主要是从测试程序的角度来考虑,main_phase是测试程序在UVM环境中的初始化和管理阶段;而run_phase是测试程序的执行阶段,负责执行UVM测试用例,并确保所有的交易都可以在测试环境中成功运行。因此,在UVM验证环境中,更好的控制main phase和run phase可以实现更好的测试结果。 ### 回答3: UVM(Universal Verification Methodology,通用验证方法)是一种广泛使用的硬件验证方法学,用于验证集成电路中的设计。UVM定义了一些阶段相对应于特定的验证任务,包括构建测试环境、配置测试用例、运行测试、生成报告以及清理测试环境等。 其中,run_phase和main phaseUVM的两个主要阶段。这两个阶段的主要功能如下: 1. main phase (主要阶段) 主要阶段是UVM测试环境中的第一个阶段。它主要有两个任务:构建验证环境和配置验证用例。在这个阶段,我们可以实例化验证环境、配置验证用例、设置仿真时钟周期、锁定DUT中的接口以及其他必要操作。 此外,还要配置全局的参数、设置错误处理机制和消息记录等。 2. run_phase (运行阶段) 运行阶段是UVM中的第二个阶段。在这个阶段中,我们可以开启测试用例、驱动、监视和代理组件。此外,我们还可以在这个阶段中实现完整性检查和结果检查。 在这个阶段结束后,模拟器将生成测试报告,清理测试环境并退出仿真。 总体来说,main phase和run_phaseUVM测试中扮演着至关重要的角色。main phase主要负责设置测试环境和配置测试用例,而run_phase则是实际运行测试的阶段。通过理解这两个阶段的作用,可以更好地开展UVM测试工作,提高测试效率和测试质量。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值