【QUARTUSII】数字电路设计仿真实验

数字电路设计仿真实验

一、实验目的
1、通过计数器的设计仿真,让学生掌握QUARTUSII进行电子设计的基本流程。
2、初步了解可编程器件设计的全过程。
3、加深对计数器的认识
4、了解用VHDL语言实现计数器的过程
二、主要仪器设备
EDA实验系统1台
PC机
三、实验内容
1、运用VHDL设计1个4位二进制计数器,计数范围从0000计到1111。
2、在1的基础上增加时钟使能作用及异步清零功能
3、在2的基础上实现计数器的计数范围控制,如从0000计到1001。
四、 实验步骤
QUARTUSII软件的基本操作与应用
1、运行QUARTUSII软件。
2、选择File/New Project Wizard,新建一个工程,并点击Next。
3、指定工作目录及工程顶层设计实体名称,并点击2次Next。
4、选择FPGA器件,如图1-2所示,并点击Finish,工程文件建立结束。
5、点击File/New,新建一个VHDL文件
6、点击Ok,并保存,无需任何修改,点击Ok即可。
7、按照自己的想法在新建的VHDL文件中编写VHDL程序,如D触发器程序代码
8、代码书写结束后,选择Processing/Start Compilation对编写的程序代码进行编译,直至编译通过,否则对程序代码进行修改。
9、编译通过后,选择File/New,在弹出的对话框中点击Other Files,选择Vector Waveform File,并点击OK,建立一个波形文件,保存波形文件。
10、在波形文件加入输入输出端口
11、对加入到波形文件中的输入端口进行初始值设置,并点击Processing/Start Simuliation进行仿真。查看仿真结果是否符合要求。
12、仿真无误后,选择Assignments/Assing Pins对实验中用到的管脚进行绑定分配
13、对于复用的引脚,需做进一步处理,使其成为通用I/O。
14、最后再编译一次,编译无误后,用下载电缆通过JTAG接口将对应的dff2.sof文件下载到FPGA中。
15、在实验系统中正确连线,观察实验结果是否与仿真结果相吻合。
五、实验方案
程序设计
在这里插入图片描述

软件编译
在这里插入图片描述
仿真结果及分析
在这里插入图片描述

  • 1
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值