FPGA同步和异步清零/设置顶层文件

计数器清零就是将计数值清零
计数器的异步清零就是不顾时钟信号,只要清零信号来到就进行清零操作
计数器的同步清零就是即使清零信号有效也要等时钟信号有效沿到来才能清零

module counter(
		clk,     //时钟信号
		rst_n, //复位信号
		clr,     //
		cnt_value //计数输出

);
		input clk;
		input en; //使能
		input rst_n;
		input clr;//清零信号
		output [3:0]cnt_value;
		
		reg [3:0]cnt;
	
		always @(posedge clk)//同步清零
		//always @(posedge clk or posedge clr) //异步清零
		if(!rst_n)
			cnt<=4'b0;
		else if(en) begin
			cnt <=cnt +1'b1;
		end
	
		assign cnt_value =cnt;

endmodule	

设置顶层文件:
在这里插入图片描述
在这里插入图片描述

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值