Cadence公司的数字IC设计工具:形式验证工具(Conformal)——(1)

目录

一、lec

二、运行

1、启动lec

2、Specifying the command entry mode

三、Setup Mode

1、Setting Options

1.1 Adding Search Paths

1.2 Adding Notranslate Modules

1.3 Changing the root module

2、Reading in Libraries and Designs

2.1 Reading in Library Files

2.2 Reading in Design Files

3、Design Constraints

3.1 blackboxes

3.1.1 读入design和library之前

3.1.2 读入design和library之后

3.2 Net Constraints

3.3 Pin Constraints

3.4 Pin Equivalences

4、Flattening Options

4.1 Converting DLATs to DFFs

4.2 Converting DFF or DLAT to zero or one gate

4.3 Gated-Clock Learning

 4.4 Converting DFFs to DLATs

四、LEC Mode

1、Moving to LEC Mode

2、report unmapped points

3、Comparison

五、debug

1、GUI

2、命令行

2.1 report

2.2 analyze

2.3 diagnose

2.3.1 -summary

2.3.2 -group

2.4 report design similarity

六、脚本文件示例

七 some commands

八 参考


一、lec

Encounter Conformal logical equivalence checking tools验证RTL、栅极或晶体管级设计。作为功能验证平台的一部分,Conformal提供了完整的等效性检查(EC)解决方案,可用于验证从RTL到布局的复杂片上系统(SoC)设计。

The Conformal Equivalence Checking solutions是验证RTL、栅极或晶体管级设计的逻辑等效检查工具。作为Encounter Conformal功能验证平台的一部分,Conformal提供了唯一完整的等效性检查(EC)解决方案,可用于验证从RTL到布局的复杂片上系统(SoC)设计。它验证了最广泛的电路,包括复杂的算术逻辑、数据路径、存储器和自定义逻辑。Conformal具有高性能、高容量和出色的调试能力。这些功能结合在一个集成的环境中。

LEC 全称Logic Equivalence Checking。形式验证不依赖于输入激励,用数学解析的方式对电路的逻辑等效性做完备验证。在数字电路实现过程中需要对任何做了电路逻辑更改的步骤进行逻辑等效性验证,以确保逻辑的更改不会导致功能的错误。形式验证的相关概念可参考:Synopsys公司的数字IC设计工具:形式验证工具(Formality)_qq_42922513的博客-CSDN博客

二、运行

1、启动lec

lec [-xl] [-nogui] [-dofile filename] [-logfile filename] [-color]

-xl:指定需要有运算逻辑分析能力的license (默认启动-l)

-gui or -nogui:以GUI或非GUI方式启动lec

-dofile <filename> :在启动LEC后运行脚本文件<filename>

-logfile <filename> :设置日志文件

-color:在nogui模式下控制颜色编码的messages

2、Specifying the command entry mode

在Conformal中,有两种模式:默认的Conformal命令输入模式(VPXMODE)和Tcl命令输入模式(TCLMODE)。使用如下命令进行切换:

tclmode
vpxmode

本文所有命令均在VPXMODE模式下使用

三、Setup Mode

Conformal有Setup和LEC两种工作模式。启动后,Conformal开始以Setup模式运行,在命令输入窗口中显示SETUP>提示符。在Setup模式中,可以读取library和design,应用constraints,并设置形式验证的options。

1、Setting Options

1.1 Adding Search Paths

Conformal使用搜索路径查找保存在当前工作目录以外的目录中的design文件和library文件。

add search path <pathname> [-design | -library] [-golden | -revised | -both]   

如果未指定-design或-library,则Conformal将此命令同时应用于read design和read library命令。

1.2 Adding Notranslate Modules

当选择不编译特定的库或设计模块时,必须运行add notranslate modules <module_name>命令。指定的模块自动成为blackboxes。因为此命令在初始解析期间被应用,因此名称匹配仅适用于原始模块名称。

1.3 Changing the root module

set root module <module_name> [-golde | -revised | -both]

2、Reading in Libraries and Designs

2.1 Reading in Library Files

添加library文件

#RTL vs NETLIST
read library lib.lib -liberty -both

2.2 Reading in Design Files

添加design文件

read design file1.v –verilog –golden

#RTL vs RTL
read design file2.v –verilog –revised
#RTL vs NETLIST  注:d
  • 1
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值