Synopsys公司的数字IC设计工具:PrimeTime PX

目录

一、介绍

1、averaged power analysis mode

1.1 脚本示例

1.2 所需相关文件

1.3 其他

2、time-based power analysis mode

2.1 脚本示例

2.2 其他 


一、介绍

PrimeTime PX支持两种功耗分析模式:平均和基于时间的功率分析模式。

1、averaged power analysis mode

1.1 脚本示例

set power_enable_analysis TRUE
set poewr_analysis_mode averaged

set search_path ""
set link_library " * lib.db"
read_verilog top_netlist.v
current_design top
link

read_sdc file.sdc
#disable timing arcs 
set_disable_timing object_list
read_parasitics filename

#show possible timing problems for designupd
check_timing
update_timing
#report timing paths
report_timing

#read in toggling events from VCD file. -strip_path:path prefix to the top of the design
read_vcd -strip_path strip_path filename
report_swtiching_activity -list_not_annotated

#show possible powr problems for design
check_power
#update power info for the design
update_power
#display power report
report_power -hierarchy

quit

1.2 所需相关文件

(1)Gate-Level Netlist:PrimeTime PX只支持门级网表。

(2)Technology Library

(3)SDC file

(4)Parasitic file:寄生文件包含net的电容。电容是决定动态功耗的因素之一。

(5)Switching activity:在平均功率分析中,可以使用SAIF或VCD文件格式读取开关活动。从门级或RTL级模拟生成SAIF文件。RTL SAIF仅为部分设计捕获一个引人注目的活动。PrimeTime PX在整个设计中传播部分切换活动。还可以使用VCD文件来指定切换活动信息。

可参考:Cadence公司的数字IC设计工具:仿真工具(INCISIVE)——(2)_qq_42922513的博客-CSDN博客icon-default.png?t=N7T8https://blog.csdn.net/qq_42922513/article/details/132078071?csdn_share_tail=%7B%22type%22%3A%22blog%22%2C%22rType%22%3A%22article%22%2C%22rId%22%3A%22132078071%22%2C%22source%22%3A%22qq_42922513%22%7D

1.3 其他

(1)当选择平均功率分析模式并且不指定任何活动信息时,该工具将执行无矢量功率分析。在这种模式下,PrimeTime PX对主要输入和黑盒输出应用默认切换率,然后传播它们。如果需要,可以更改默认的切换速率。该使用模型有助于快速估算功率。

(2)运行脚本命令

pt_shell -file filename -gui -output_log_file file_name

(3)执行update_power命令前需要进行timing分析。这提高了性能,并避免了由切换活动注释命令触发的额外timing更新。

2、time-based power analysis mode

2.1 脚本示例

set power_enable_analysis TRUE
set power_analysis_mode time_based

set search_path "."
set link_library " * lib.db"
read_verilog top_netlist.v
current_design top
link

read_sdc file.sdc
set_disable_timing
read_parasitics

check_timing
update_timing
report_timing

read_vcd -strip_path

check_power
set_power_analysis_options -waveform_format out -waveform_output vcd
update_power
report_power

quit

2.2 其他 

(1)

#set options for the power analysis mode
set_power_analysis_options
-static_leakage_only: only compute leakage, skip dynamic power computations
-through_mode: enables pass through propagation of toggle rates in clock network.只能和-static_leakage_only同时使用
-waveform_format [fsdb | out | none] : output file format, default:fsdb
-waveform_output file: file prefix of powre waveform file
-include [top | all_without_leaf | all_with_leaf]: select the part of design to be analyzed

(2) 只能使用vcd格式文件

                                                PS:觉得有用就点个赞收藏吧

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值