Synopsys PrimeTime 功耗分析

PrimeTime跑功耗分析,需要三个文件:Synopsys DC中编译产生的netlist与约束文件.sdc,再加上modelsim中仿真生成的vcd文件。

vcd文件可以用两种方法生成:

1. 直接在仿真 do file中加入命令

vcd file questa_sim.vcd
vcd add *

生成questa_sim.vcd与do file在一个文件夹中。


或者2. 在testbench中的initial语句中加入:

$dumpfile("/tmp/qs/sim/questa/tc_ddrwrap/questa_sim-dump.vcd");
$dumpvars(0,NoCM); //指定copy NoCM模块的信号,NoCM是设计顶层模块在testbench中实例化的名字;copy时间,现在是从0ns开始

$dumpon;

questa_sim-dump.vcd需要提前手动生成,上述指令只是将仿真文件copy到指定文件中。

  • 0
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值