【verilog_4】: 设计一个 16 选 1 选择器 Design a 16-to-1 selector

设计一个 16 选 1 选择器 Design a 16-to-1 selector

法一

author : Mr.Mao
e-mail : 2458682080@qq.com

module mux16_1(
	input [3:0] sel,
	input [15:0] D,
	output Y
);

assign Y = D[sel];

endmodule 

法二

author : Mr.Mao
e-mail : 2458682080@qq.com

module MUX16_1(a,b,c,d,e,f,g,h,i,j,k,l,m,n,o,p,s0,s1,s2,s3,y);
input a,b,c,d,e,f,g,h,i,j,k,l,m,n,o,p;
input s0,s1,s2,s3;
output y;

reg y;

always@(*)
begin 
  case({s0,s1,s2,s3})
     4'b0000 : y <= a ;
	  4'b0001 : y <= b ;
	  4'b0010 : y <= c ;
	  4'b0011 : y <= d ;
	  4'b0100 : y <= e ;
	  4'b0101 : y <= f ;
	  4'b0110 : y <= g ;
	  4'b0111 : y <= h ;
	  4'b1000 : y <= i ;
	  4'b1001 : y <= j ;
	  4'b1010 : y <= k ;
	  4'b1011 : y <= l ;
	  4'b1100 : y <= m ;
	  4'b1101 : y <= n ;
	  4'b1110 : y <= o ;
	  4'b1111 : y <= p ;
	  default : y <= a ;
	endcase
end
endmodule
	  

  • 5
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 9
    评论
评论 9
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值