自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(16)
  • 资源 (3)
  • 收藏
  • 关注

原创 【Verilog_16】: 序列发生器、序列校验器、序列检测器一条龙服务

序列发生器、序列校验器、序列检测器一条龙服务序列发生器:产生指定序列author : Mr.Maoe-mail : 2458682080@qq.commodule seq_generator( input clk , input rst_n , output reg data );//规定输出数据 p...

2020-01-28 18:30:17 1426

原创 【Verilog_15】: 设计一个16-4优先编码器

设计一个16-4优先编码器法一author : Mr.Maoe-mail : 2458682080@qq.commodule encoder_16_4(x, y, e) ; input wire [15:0] x ; output reg [3:0] y ; output reg e ; integer i ; integer j=0 ; ...

2020-01-28 18:22:31 9713

原创 【Verilog_14】: 设计一个5-32译码器

设计一个5-32译码器法一:这是个简单又笨的方法author : Mr.Maoe-mail : 2458682080@qq.commodule decoder_5_32(en, data_in, data_out) ; input en ; input [4:0] data_in ; output reg [31:0] data_out ; ...

2020-01-28 18:20:34 6786 2

原创 【Verilog_13】: RTL ←→Verilog

RTL ←→Verilogmodule rtlxx ( //分频器,周期为div_param input clk, input reset_n, input a, input b, input c, output reg y, inout reg x);reg t,k; always @(posedge clk or negedge reset_n) if...

2020-01-28 18:16:36 675

原创 【Verilog_12】: 设计一个7.5分频的分频器,不能使用PLL

设计一个7.5分频的分频器,不能使用PLL法一author : Mr.Maoe-mail : 2458682080@qq.commodule Fre_div_decimal(clk, rst, div_out, count, clkN, clkP); input clk, rst ; output div_out, clkN, clkP ; output [4:0...

2020-01-28 18:11:05 737

原创 【Verilog_11】: 设计一个11分频的分频器,要求输出占空比为50%,不能使用PLL

5.设计一个11分频的分频器,要求输出占空比为50%,不能使用PLL法一author : Mr.Maoe-mail : 2458682080@qq.commodule div11x( input clk, input reset_n, output q);reg [3:0] cnt;reg x_p,x_n;always @(posedge clk,negedg...

2020-01-28 18:08:57 3678

原创 【Verilog_10】: 设计24位无符号数乘法器

设计24位无符号数乘法器法一author : Mr.Maoe-mail : 2458682080@qq.commodule muln#( parameter N=24)( input [N-1:0] A, input [N-1:0] B, output [2*N-1:0] R);assign R = A * B;endmodule 法二author : Mr.M...

2020-01-27 14:43:47 1528

原创 【verilog_9】: 设计16位二进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

2.设计16位二进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位法一author : Mr.Maoe-mail : 2458682080@qq.commodule CNT_16B2(rst_a, en_s, load_s, clr_s, clk, q, cnt, data) ;input rst_a, en_s, load_s, clr_s, clk ;input ...

2020-01-27 14:41:51 12237 1

原创 【verilog_8】: 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

1.设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位法一author : Mr.Maoe-mail : 2458682080@qq.commodule cnt60x( input clk, input reset, input [5:0] d, input en, input load, input sclr, output reg[5:0] q,...

2020-01-27 14:39:35 15198 3

原创 【verilog_7】: 设计一个 32 位 ALU 支持加法、减法、与、或、异或、取非这六中运算

设计一个 32 位 ALU 支持加法、减法、与、或、异或、取非这六中运算author : Mr.Maoe-mail : 2458682080@qq.commodule ALU_32( input [31:0] data_a_in, input [31:0] data_b_in, input carry_in, input [3:0] op_code, output reg car...

2020-01-27 14:35:00 3879

原创 【verilog_6】: 设计一个 16 位串入并出移位寄存器

设计一个 16 位串入并出移位寄存器author : Mr.Maoe-mail : 2458682080@qq.commodule shifter_s1p16( //串行右移专并行输出 input clk, input reset_n, input serial_in, output reg [15:0] parallel_out); always@(posedge cl...

2020-01-27 14:33:40 5510

原创 【Verilog_5】: 设计一个脉冲发生器,已知系统时钟为 50MHz,生成脉冲宽度为 1ms,脉 冲间隔可调,最大间隔为 1s

设计一个脉冲发生器,已知系统时钟为 50MHz,生成脉冲宽度为 1ms,脉冲间隔可调,最大间隔为 1sauthor : Mr.Maoe-mail : 2458682080@qq.commodule pulse_gen #( parameter N = 26,parameter DUTY = 1000*50,parameter PERIOD_MAX = 50*1000*1000 )...

2020-01-27 14:32:15 5569

原创 【verilog_4】: 设计一个 16 选 1 选择器 Design a 16-to-1 selector

设计一个 16 选 1 选择器 Design a 16-to-1 selector法一author : Mr.Maoe-mail : 2458682080@qq.commodule mux16_1( input [3:0] sel, input [15:0] D, output Y);assign Y = D[sel];endmodule 法二author : Mr...

2020-01-27 14:29:55 5406 9

原创 【verilog_3】: 设计一个可以预置分频器,最大分频系数为 100000

设计一个可以预置分频器,最大分频系数为 100000Design a preset frequency divider with a maximum frequency dividing coefficient of 100000.author : Mr.Maoe-mail : 2458682080@qq.commodule freq_div#( parameter N = 17 ...

2020-01-27 14:25:50 2954 6

原创 【Verilog_2】: 设计 n 位乘加器(先乘后加)

设计 n 位乘加器(先乘后加)Design a n-bit multiplier (firstly multiply and then add)`author : Mr.Maoe-mail : 2458682080@qq.commodule MAC_N#( parameter N = 16)( input [N - 1: 0 ] A, input [N - 1: 0 ] B,...

2020-01-27 14:22:26 1762

原创 【Verilog_1】: 设计 4 位 BCD 十进制计数器

设计 4 位 BCD 十进制计数器Design a 4-digit BCD decimal countermodule bcd_4d_cnt( //4位十进制计数器 input clk, input reset_n, input en, //同步使能 input load, //同步装载 input [15:0] d, output reg [15:0] bcd);...

2020-01-27 14:17:59 9630

快速排序的归位过程.wmv

这个我自己制作的快速排序的视频演示过程,但是视频只展示了一次数据归位的过程,因为对PPT做动画还不是很熟练,所以可能看起来有点难看,见谅,有兴趣可以看看。

2020-09-17

short_term.rar

资源包内是此课题的原码,可能会比较乱,因为包括之前尝试的文件也在里面,不过只要打开QPF主文件,看看里面包含了什么文件就清楚了

2020-07-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除