【Verilog_12】: 设计一个7.5分频的分频器,不能使用PLL

设计一个7.5分频的分频器,不能使用PLL

法一

author : Mr.Mao
e-mail : 2458682080@qq.com

module Fre_div_decimal(clk, rst, div_out, count, clkN, clkP);
  input clk, rst ;
  
  output div_out, clkN, clkP ;
  
  output [4:0] count ;
		
  reg [4:0] count;
  
  wire [4:0] shift_count; //移位计数
  
  reg clkP,clkN;
  
assign shift_count = 5'b00001;

  always @ (posedge clk or negedge rst)
  begin
  
			if(!rst)  count <= shift_count ;
				
			else      count <= {count[3:0], count[4]} ;  //实现移位计数(左移)00001》00010》00100》01000》10000》00001
					
  end
  
  
  always @ (negedge clk or negedge rst)
  begin
  
		  if(!rst)                                           clkN <= 1'b0 ;
				
		  else if(count == 5'b01000 || count == 5'b10000)    clkN <= 1'b1 ;
				 
		  else                                               clkN <= 1'b0 ;
  
  end
  
  
  always @ (posedge clk or negedge rst)
  begin
  
			if(!rst)                                          clkP <= 1'b0 ;
				
			else if(count == 5'b00001 || count == 5'b00010)   clkP <= 1'b1 ;
				 
			else                                              clkP <= 1'b0 ;
  
  end
  
assign div_out = clkP | clkN ;

endmodule

法二

author : Mr.Mao
e-mail : 2458682080@qq.com


module div7p5x
(
	input clk,
	input reset_n,
	output q
);


reg [3:0] cnt;
reg x_p,x_n;




always @(posedge clk,negedge reset_n)
	if(!reset_n)
		cnt <= 0;
	else if(cnt < 15-1)
		cnt <= cnt + 1'b1;
	else
		cnt <= 0;

always @(posedge clk,negedge reset_n)
			if(!reset_n)
				x_p <= 0;
			else  if(cnt < 15/4)
				x_p <= 1;
			else
				x_p <= 0;

always @(negedge clk,negedge reset_n)
					if(!reset_n)
						x_n <= 0;
					else if(cnt > 15/2 && cnt <= 15/2+15/4)
						x_n <= 1;
					else
						x_n <= 0;
						
assign q = x_p | x_n;						
			
				


endmodule 
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值