异步FIFO深度为何只能为偶数

在讨论为何异步FIFO的深度只能为偶数之前,我们首先需要明白异步FIFO的工作原理,可以参考下面两个文章:

FPGA实现异步FIFO_数字icer异步fifo设计-CSDN博客

02【Verilog实战】异步FIFO设计(附源码RTL/TB)_异步fifo tb-CSDN博客

在这里插入图片描述

异步FIFO的大致结构为上图。

在所有设计中很关键的一点是,将地址转换为格雷码后,再跨时钟域。这是由于格雷码具有相邻两个地址只有1bit会反转,因此可以当作单bit跨时钟域处理。

在这里插入图片描述

格雷码如上图,我们可以发现对于十进制为0-16对应的格雷码,其是关于“中心非完全对称”的。非完全对称的意思是图中箭头所指的两个数据只有最高bit不同,其余位相同。

要说明为异步FIFO的深度为什么不能为奇数,我们首先需要知道异步FIFO深度可以不是2的次幂的偶数吗?答案是可以的,按照上面讲到的对称性,任意一个箭头两端也只有1bit不同,也就是说格雷码从某一数值跳转到对称数值的时候也只会发生1bit反转,而地址回卷正要求如此,比如地址增加到15后需要回到0,这时候格雷码从1000变为0000,符合异步FIFO的设计。深度可以不是2的次幂的偶数的方法有如下三种情况:

①去掉几个最外环的连接线两端的地址

如令地址从1开始增加,增加到14的时候回卷到1,格雷码从1001变为0001符合要求,此时深度为14;

如令地址从2开始增加,增加到13的时候回卷到2,格雷码从1011变为0011符合要求,此时深度为12;

②去掉中心几个环的连线两端的地址

如地址依然从0开始增加,增加到5后令地址跳转到10,格雷码从0111变为1111符合要求,之后连续增加到15后再变回0,格雷码从1000变为0000符合要求。

这两种方法都是按照去除连线两端的地址实现,因此去除的地址数量都是偶数,也就是说最终采用的格雷码数量=2的n次幂-跳转数量,因此计算结果(深度)也为偶数。

③去掉上半区域或下班区域中某个环所包裹(不含自身)的所有地址

在这里插入图片描述

我们从图中可以发现,连线两端的数值也是仅仅只有1bit变化,因此其所包裹的中间数值也都可以去除。

如地址从0开始增加到4时跳转到7,然后依次增加到15最后回卷到0,其跳过了5和6对应的格雷码,但是不影响其自身1bit反转,最后FIFO深度为14。

那异步FIFO深度可以为奇数吗?我们首先要明确一个中心思想就是地址跳变要符合异步FIFO设计要求,即两次地址只有1bit反转。观察上图,因为FIFO达到最大地址后要回卷,而回卷也要求只能有1bit变化,也就是说起始地址和最大地址一定是上图中的对称关系。而任意一对对称格雷码中间包裹的地址数量是偶数,如果深度要变为奇数那势必要去除掉奇数个地址,让地址自增的过程中跳过他们,但是去除奇数个地址一定会导致去除掉的前一个地址和后一个地址的格雷码之间不能是1bit反转(可以从上面两个图尝试),因此其地址不可能为奇数。

  • 14
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
异步FIFO深度计算通常考虑写数据速率比读数据速率快的情况,以防止数据溢出和丢失。一种计算深度的方法是根据最大的写数据速率和读数据速率来确定。假设最大的写数据速率是Wr,读数据速率是Rd,传输数据的时钟周期为T,那么FIFO深度可以通过以下公式计算得出:深度 = ceil(Wr * T / Rd)。这个公式保证了FIFO能够容纳写入速率最大的数据,同时避免了数据丢失。另外,由于异步FIFO的设计中通常使用格雷码,所以深度需要是2的幂次方。如果计算得到的深度不是2的幂次方,需要选择大于等于该深度的最小的2的幂次方作为FIFO深度。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [异步FIFO深度 计算模型以及详细推导.docx](https://download.csdn.net/download/changhaizhang/11441790)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [异步FIFO最小深度的计算](https://blog.csdn.net/qq_40268672/article/details/123275374)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT0_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值