SV笔记(待整理)

  • 在仿真时调用如下命令进行多次仿真:
// 传递了两个必要参数:
// solvefaildebug 是为了调试随机变量的
// sv_seed 是为了传递随机的种子,可以将下面的0改为 random
//coverstore 生成覆盖率数据并保存在指定路径
vsim -i -classdebug -solvefaildebug -coverstore E:/FPGA_FW/FPGA_file/30_ces_svtb_2011.12_szp/30_ces_svtb_2011.12/solutions/lab6/covdata -sv_seed 0 work.router_test_top
run -all
//只有点击 end simulatin结束仿真之后才会得到覆盖率数据XXX.data

vcover merge -out merged_coverage.ucdb ./covdata
保存之前若干个XXX.data的覆盖率数据合并并保存在当前目录下

  • new()代表例化,new[]代表动态数组空间开辟
	sem = new[16];
	drvr = new[16];	//动态数组开辟空间,16个
	rcvr = new[16];
	gen = new("gen");
	sb = new("sb");	//1个

	foreach (sem[i])
	  sem[i] = new(1);
	foreach (drvr[i])	//实现例化,new()代表例化,new[]代表动态数组空间开辟
	  drvr[i] = new($sformatf("drvr[%0d]",i), i, sem, gen.out_box[i], sb.driver_mbox, rtr_io);
	foreach (rcvr[i])
	  rcvr[i] = new($sformatf("rcvr[%0d]",i), i, sb.receiver_mbox, rtr_io);
  • fork…join: 必须等到ment1,ment2,ment3全部执行完之后,ment4才可以执行。
    fork…join_any: 等到ment1,ment2,ment3之中任何一个执行完毕之后,ment4才可以执行
    fork…join_none: ment4的执行与否不依赖于ment1,ment2和ment3,他们可以同步执行。
    在这里插入图片描述

  • 局部数据存储 automatic作用

    Verilog中由于任务中局部变量会使静态存储区,当在多个地方调用同一个任务时,不同线程之间会窜用这些局部变量。

    Systemverilog中,module和program块中,缺省使用静态存储;如果想使用自动存储,需加入automatic关键词。

  • 代码覆盖率

  • 功能覆盖率

  • 断言覆盖率

  • 寄存器覆盖率

  • class不能例化module,module可以例化class; interface不能例化module,module可以例化interface

  • `include 一般是package直接调用class时使用,派生类继承基类使用;

  • `import 一般是package/program调用package时使用;

  • 基类中的方法,需要super。 子类与父类相同的函数名,会覆盖父类相应的函数,可使用关键字super去除覆盖。常见的有new方法:

class Driver extends DriverBase;
  pkt_mbox in_box;	// Generator mailbox
  pkt_mbox out_box;	// Scoreboard mailbox
  semaphore sem[];	// output port arbitration
  extern function new(string name = "Driver", int port_id, semaphore sem[], pkt_mbox in_box, out_box, virtual router_io.TB rtr_io);
  extern virtual task start();
endclass

function Driver::new(string name, int port_id, semaphore sem[], pkt_mbox in_box, out_box, virtual router_io.TB rtr_io);
  super.new(name, rtr_io);
  if (TRACE_ON) $display("[TRACE]%t %s:%m", $realtime, name);
  this.sa = port_id;
  this.sem = sem;
  this.in_box = in_box;
  this.out_box = out_box;
endfunction
  • 基类中的方法,子类中没有同名的,因此不需要super,直接调用即可。
  • 3
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Arist9612

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值