29_uvm核心基类

1. 核心基类概述

在这里插入图片描述

  • virtual class不能例化,但是可以被继承。
    在这里插入图片描述
  • sv中的copy有两步骤:先创建实例,再将现有属性赋值给新的对象对应的成员变量。
  • UVM中copy和clone意义不同。

2. 域的自动化

在这里插入图片描述
在这里插入图片描述

  • 使用多个宏做域自动化
    在这里插入图片描述
  • 这里我们没有定义copy函数,这个copy是UVM的。这些核心方法不用自己实现了,这一点和sv中自主设计不同。
  • b1成员变量都会拷贝给b2。这是因为我们上上页胶片中进行了一系列宏定义。
  • UVM中我们增加了些范式,但是也省略了很多基础功能代码的编写。
    在这里插入图片描述

3. copy

在这里插入图片描述

  • UVM中的clone先创建对象,后复制粘贴对象成员;而copy不做对象创建,只是做成员变量的复制粘贴。
    在这里插入图片描述
  • 1.定义
  • 2.注册,注册时也做了域自动化
    1. …是省略了new()
  • do_copy是copy的回调函数,只要定义了do_copy,那在调用了copy后UVM就会调用do_copy
    在这里插入图片描述
    在这里插入图片描述

4. 比较(compare)

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  • 前两行是UVM compare自动打印的,第三行是我们打印的
    在这里插入图片描述
    在这里插入图片描述

5. 打印(print)

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

6.打包和解包

在这里插入图片描述
在这里插入图片描述

  • PC仿真器和FPGA之间的通信可以通过打包和解包的方式进行,PC端的UVM仿真器将仿真数据流打包发送到FPGA,FPGA的数据流到PC侧也会解包后送入仿真器。
  • 3
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值