2023.11.05笔记

1、clone和copy的区别:(白P63)

clone= new + copy

uvm_component无法使用clone函数,但可以使用copy函数,因为在调用copy之前,目前实例已经完成了实例化,其parent参数已经指定了。


在UVM(Universal Verification Methodology)中,clone方法用于创建一个新的UVM对象或组 件,它是当前对象或组件的副本。当您在uvm_component类中使用clone方法时,新克隆出来的组件的 parent参数将被自动设置为当前组件的父组件。

这是因为在UVM中,组件之间存在层次结构关系。每个组件都有一个父组件,除了顶层组件(它没有 父组件)。当您使用clone方法克隆一个组件时,新克隆出来的组件将保留原始组件的层次结构关系。 因此,它的父组件将被自动设置为原始组件的父组件。

如果您希望新克隆出来的组件具有不同的父组件,可以在克隆后使用set_parent方法来更改其父组件。 例如:

my_component new_comp = orig_comp.clone();

new_comp.set_parent(new_parent);

在这个例子中,我们首先使用clone方法克隆了一个名为orig_comp的原始组件,并将新克隆出来的组件 赋值给变量new_comp。然后,我们使用set_parent方法将新克隆出来的组件的父组件设置为new_parent。

总之,在UVM中,当您使用clone方法克隆一个组件时,新克隆出来的组件的父组件将被自动设置为 原始组件的父组件。如果您希望更改新克隆出来的组件的父组件,可以在克隆后使用set_parent方法进 行更改。

2、OSI七层网络协议

口诀:应、表、会、传、网、数、物

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值