uvm中的clone是怎么一回事

在uvm中,我们经常使用clone()来拷贝对象的一个副本,clone()会将对象复制一份,并返回这个复制版本的句柄

uvm中关于clone()的原始代码如下:

可以看到,clone的本质其实就是create(new) + copy

而对于uvm中的copy(),在《uvm实战》书里面有如下的解释:

因此,当我们使用clone时,只会clone已经使用uvm_field宏注册的成员,未注册的成员是不会被clone的,仍然是new出来的原始值。

  • 7
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值