quartus+modelsim仿真教程

一、编写设计程序
1、新建工程:
打开quartus软件,菜单栏:File->New Project Wizard,然后得到下图界面:点击next。
在这里插入图片描述
在该界面中需设置工程目录以及工程名。
在这里插入图片描述
本项目没有写好的代码,直接点击next进入下一步。
在这里插入图片描述
在以下界面选择相应的设备,这需要根据你手上设备的型号选择。本项目不需要再实物开发板上验证,所以不进行选择或者随意选择一款均可。
在这里插入图片描述
接下来是EDA工具选择,选择好后点击next。
在这里插入图片描述
最后一步是之前的一个总结报告,直接finish就好。至此,成功新建一个项目。
在这里插入图片描述
2、编写程序
(1)点击红框,新建程序源文件。
在这里插入图片描述
(2)选择文件类型,因为使用Verilog写,所以选择以下文件类型。选择好后点击OK。
在这里插入图片描述
(3)完成以上步骤,在左侧会弹出一个新的文件编写界面。如下,文件名为Verilog1.v。
在这里插入图片描述
(4)接下来开始写入程序代码,如下:
在这里插入图片描述
(5)编译代码,点击图中红框中的三角标志进行代码编译。
在这里插入图片描述
(6)弹出如下文本框,点击yes。
在这里插入图片描述
(7)保存文件。注意:需保证文件名与model名相同。
在这里插入图片描述
(8)检查是否编译出错。如果左侧红框全是√,则编译成功。否则在下方的红框中查找错误。
在这里插入图片描述
至此,设计程序编写成功。
二、编写test bench(测试程序)
(1)建立新文件
在这里插入图片描述
(2)找到建立的文件,该文件路径:工程目录(本项目名test)->simulation->modelsim->xxx.vt(或者xxx.vht)
在这里插入图片描述
(3)在quartus中打开该文件。
在这里插入图片描述
(4)游览到刚才文件所在的文件夹,选择该文件。
在这里插入图片描述
(5)有可能你游览到该文件夹,淡看不到任何文件,如下。
在这里插入图片描述
只需将文件类型选择为all files即可。
在这里插入图片描述
点击add
在这里插入图片描述
可以看到文件已添加。
在这里插入图片描述
随后点击OK即可。双击打开.vt文件。可以看到该文件中已有部分代码,我们只需对其进行添加修改即可。
在这里插入图片描述
(6)编写测试程序,写好程序如下:
在这里插入图片描述
三、仿真前设置
(1)仿前设置。菜单栏:assignments->setting。然后依次进行如下步骤。第四、五步骤是为了添加测试程序。
在这里插入图片描述

(2)添加测试程序在这里插入图片描述
在这里插入图片描述
可以看到已成功添加该文件。
在这里插入图片描述
在这里插入图片描述
(3)查看modelsim软件安装路径
菜单栏:tools->options。
在这里插入图片描述
如果路径为空白的话,手动游览到modelsim安装的路径下。

四、modelsim仿真
1、调用modelsim软件:点击图中标志或者tools->run simulation tools->RTL simulation
在这里插入图片描述
2、出错:可以看出错误是在modelsim目录下(即测试程序文件所在目录)找不到xxx.v文件。
在这里插入图片描述
3、将xxx.v文件复制到测试程序文件所在目录
在这里插入图片描述
4、重新进行RTL simulation(需关闭modelsim)
接下来只需等待便可,最后查看得出的wave图是否符合设计要求就完成了这个仿真。
在这里插入图片描述

  • 63
    点赞
  • 552
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论
回答: 要使用QuartusModelSim进行联合仿真,可以按照以下步骤进行操作。首先,编辑Verilog HDL语言代码,然后在Quartus中绘制原理图。接下来,可以使用Quartus生成激励文件,并对其进行编辑以产生激励信号。然后,选择ModelSim作为仿真软件,并设置ModelSim软件路径和vt文件路径。添加vt文件后,可以运行联合仿真,这将自动打开ModelSim并生成波形图。最后,可以查看仿真结果并进行必要的调整。\[2\] 请注意,具体的操作步骤可能会因软件版本和设置而有所不同。建议参考QuartusModelSim的官方文档或教程以获取更详细的指导。 #### 引用[.reference_title] - *1* *3* [quartus+modelsim仿真教程](https://blog.csdn.net/qq_43516928/article/details/123113332)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [quartusmodelsim联合仿真详细教程](https://blog.csdn.net/waj123456WAJ/article/details/107999187)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

树下等苹果

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值