IP-ROM

这篇博客介绍了如何使用Verilog语言实现只读存储器(ROM)的配置,并提供了测试代码。`Verilog_to_ROM.v`模块展示了ROM的结构,而`verilog_to_ROM.vt`文件包含了测试平台,用于模拟时钟信号、复位和地址变化。测试过程中,通过改变地址来读取ROM中的数据,并在signaltap波形中观察结果。
摘要由CSDN通过智能技术生成

IP-ROM

  1. 概述
  • 只读存储器
  1. 配置
  2. 应用

verilog_to_ROM.v


module Verilog_to_ROM
(
	CLK_50M,RST_N,readdate,address
);

input CLK_50M;
input RST_N;
input [4:0] address;
output[7:0] readdate;
memory	memory_inst (
	.address ( address ),
	.clock ( CLK_50M ),
	.q ( readdate )
	);

endmodule

verilog_to_ROM.vt

`timescale 1 ps/ 1 ps
module Verilog_to_ROM_vlg_tst();
// constants                                           
// general purpose registers
reg eachvec;
// test vector input registers
reg CLK_50M;
reg RST_N;
reg [4:0] address;
reg [4:0] address_n;
// wires                                               
wire [7:0]  readdate;

// assign statements (if any)                          
Verilog_to_ROM i1 (
// port map - connection between master ports and signals/registers   
	.CLK_50M(CLK_50M),
	.RST_N(RST_N),
	.address(address),
	.readdate(readdate)
);
initial                                                
begin                                                  
	CLK_50M=0;
	RST_N=0;
	#10 RST_N=1;
	#1000000 $stop;
end   
always #10000 CLK_50M=~CLK_50M;                                                 
always@ (negedge CLK_50M or negedge RST_N)                                                 
// optional sensitivity list                           
// @(event1 or event2 or .... eventn)                  
begin                                                  
	if(!RST_N)
		address<=1'b0;
	else
		address<=address_n;
end   
always@ (*)                                                 
// optional sensitivity list                           
// @(event1 or event2 or .... eventn)                  
begin                                                  
	if(address==5'd31)
		address=1'b0;
	else
		address_n=address+1'b1;
end                                                   
endmodule

verilog_to_ROM.mif
在这里插入图片描述

signaltap 波形
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值