Xilinx系列软件安装技巧与注意事项(vivado vitis)+vscode

xilinx系列软件

注意事项

  1. 系统适用版本
    要安装在Ubuntu系统的话,要注意提前看好软件适用的版本,不要随便安好了Ubuntu系统又发现对应版本的xilinx软件不支持。
    如下图,发行说明中会说明这个版本的软件所适配的系统版本。
    在这里插入图片描述
  2. 下载
    vivado vitis这些都可以用FDM downloader下载整个大的几十G的包,下载起来会很快,比下安装包然后在线搞快很多。freedownloadmanager.org
    在这里插入图片描述

针对vitis HLS有如下说明:

  1. 路径
    工程路径不要太长,最好做的时候就放在d/e盘下,工程做完再打包存起来,直接在很长的路径下跑可能会报错,而且是综合了很久之后才会报,很浪费时间

  2. 2020.2的时间
    另2020.2版本有个bug,就是综合时要改系统时间(好像是2020年之前,记不清了,我经常调到2019年)
    不改就会变成下面这样,到最后了来个错误,很恶心人
    在这里插入图片描述

另:注意每次更新文件之后都要重新加入到工程里

在Ubuntu系统中的软件安装

参考文章如下:
Ubuntu18.04.6下安装Vivado2020.1+系统版本不支持+解决方案(Bash Mode安装)
尽量安装的系统与软件要求相匹配,不匹配的话这个文章也有解决方法
百度文库教程

安装步骤

总结就是下载完大的压缩包之后解压,然后xsetup安装,如果提示系统不支持还要多进行一步。之后导入license,添加完成后点击左边的View License Status,显示如下表示添加成功(否则是空白)
在这里插入图片描述
在这里插入图片描述
安装完成后如何启动可以参考下文,其实直接在所有软件里找到它单击就可以了。在Linux (Ubuntu)下面安装并启动Xilinx Vivado

不过为了可以方便使用终端命令,需要配置一下

命令配置(任意终端输入命令可启动软件)

命令配置自动加载环境变量,使我们可以在任意位置打开终端,输入vivado指令就可以打开vivado。

可以参考下文和上面那一篇
手把手教你在Ubuntu22.04 上安装 Vivado、HLS、Vitis 2022.2版本-雪天鱼

一、环境变量
具体是:
[1]. 在终端中,打开.bashrc文件
sudo gedit ~/.bashrc

[2].在该文件末端,添加:
source /opt/Xilinx/Vivado/2017.4/settings64.sh(安装软件的路径)

[3].改完后还要执行
source ~/.bashrc

二、安装usb驱动

cd [your_path]/Xilinx/Vivado/2022.2/data/xicom/cable_drivers/lin64/install_script/install_drivers
sudo ./install_drivers

三、重启

vscode

好用就好用在有各种插件,打开编辑和运行各种程序都非常方便,还可以远程连接,所以经常使用。

这里主要列举一些常用快捷键供自己查阅

Ctrl + Enter
在下面插入行 Insert line below
与Enter的区别就是光标不需要移到行尾就能在下面插一新行,是不是很方便的快捷键,平时我们要在当前代码行下增加新的代码,都要先移到行尾,然后按回车键,有了这个就不必那么麻烦了
向上/向下移动代码行

alt+ 下箭头/上箭头
向上/向下复制一行代码

Home转到行首 End转到行尾

Ctrl + Home转到文件开头Ctrl + End转到文件末尾

Ctrl + ← / →
光标到字首/字尾 ,使用它,可以一个字一个字的跳

Ctrl + / 切换行注释 Toggle line comment

F8 转到下一个错误或警告 Go to next error or warning
直接转到错误或警告的位置,未打开的文件也会自动打开,很方便

Shift + F8
转到上一个错误或警告 Go to previous error or warning

Ctrl + F查找 Find
Ctrl + H 替换 Replace

多光标和选择:硬件实例化的时候非常好用
Alt + 单击
插入光标 Insert cursor
按住Alt键,可以插入多个光标,按ESC可以取消多光标
Ctrl + Alt + ↑ / ↓
在上/下插入光标 Insert cursor above / below

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,我可以回答你的问题。下面是关于Python生成testbench和Vivado+VSCode配置的流程。 1. Python生成testbench Python可以用来生成testbench代码,这样可以减少手动编写testbench的工作量。下面是一个简单的Python代码生成testbench的示例: ```python import random # 生成 testbench 的代码 def generate_testbench(): # 打开输出文件 f = open("testbench.v", "w") # 生成模块名称 module_name = "testbench_" + str(random.randint(0, 100)) # 写入模块头 f.write("module " + module_name + ";\n") f.write("\n") # 写入输入和输出端口 f.write("reg a;\n") f.write("wire b;\n") f.write("\n") # 写入被测模块的实例化 f.write("DUT dut(\n") f.write("\t.a(a),\n") f.write("\t.b(b)\n") f.write(");\n") f.write("\n") # 写入测试代码 f.write("initial begin\n") f.write("\ta = 1'b0;\n") f.write("\t#10;\n") f.write("\ta = 1'b1;\n") f.write("\t#10;\n") f.write("end\n") f.write("\n") # 写入模块尾 f.write("endmodule\n") f.write("\n") # 关闭输出文件 f.close() ``` 上面的代码会生成一个包含一个简单testbench的Verilog文件。你可以根据需要修改生成的testbench代码。 2. Vivado+VSCode配置流程 Vivado是一个非常流行的FPGA设计工具,VSCode是一个轻量级的代码编辑器,它们可以一起使用进行FPGA设计。 下面是Vivado+VSCode配置的流程: 1. 安装VivadoVSCode 2. 打开Vivado,创建一个新的项目 3. 在项目中创建一个新的Verilog模块 4. 在VSCode中打开这个模块的Verilog文件 5. 在VSCode安装Verilog插件 6. 在VSCode中配置Vivado的路径 7. 在VSCode中配置Vivado的Tcl shell路径 8. 在VSCode中配置模块的testbench文件路径 9. 在VSCode中运行testbench 详细的配置流程可以参考Vivado官方文档和VSCode官方文档,这里就不再赘述了。 希望这些信息能对你有所帮助!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值