小梅哥FPGA:ROM_SignalTap Ⅱ

小梅哥FPGA:ROM_SignalTap Ⅱ

目标:
1.将实现一组固定的数据(三角波形表)存储在FPGA中,并使用IP核构建的片上ROM进行存储,开发板上电后,系统开始从ROM中读取数据,并将数据直接通过并口输出,通过使用SignalTap Ⅱ软件实时抓取并口上的数据,显示得到三角波形。
2.使用Quartus Ⅱ软件中提供ISMCE工具在线更改ROM中的mif文件

本章将学会的技能
1.如何调用ROM的IP核
2.如何给mif文件写入数据,也就是给ROM写入数据
3.如何使用FPGA的多余硬件来构造一个Signal Tap Ⅱ来抓取并口数据
4.如何使用ISMCE工具在线更改ROM中的数据

一.ROM-IP核的使用

①.建立mif文件

建立mif文件
②.用excel为mif文件写入数据,即为ROM写入数据
在Excel中建立数据
将Excel中的数据粘贴进mif中

并且必须保存哎prj下,不能保存在子目录下
保存在prj下
③.建立ROM的IP核

加入mif文件

切换到mif格式

二.Testbench的书写及仿真结果

①.testbench

`timescale 1ns/1ps
`define clk_period 20
module rom_tb;
	reg[7:0] addr;
	reg clk;
	wire[7:0]q;
	integer i;
	rom rom(
						.address(addr),
						.clock(clk),
						.q(q)
	);
	initial clk = 1;
	always#(`clk_period/2) clk =~clk;
	
	//每过1个时钟周期,就让地址加1,即每个时钟周期读出rom中的一个数据
	initial begin
		addr = 8'd0;
		#(`clk_period+1);
		for(i=0;i<2550;i=i+1)begin
			#`clk_period;
			addr = addr+1;
		end
		#(`clk_period*50);
		$stop;
	end
endmodule

②.仿真结果
仿真结果
仿真出现的是二进制格式,改成无符号数,并且改成线性就可以得到波形了

三.Signal Tap Ⅱ的使用及板级验证

①.要进行板机验证必须要创建一个顶层模块,对地址进行更改

module 	ROM_top(
										clk,
										Rst_n,
										q
);
	input clk;
	input Rst_n;
	
	output[7:0]q;
	
	reg[7:0]addr;
	rom rom(
						.address(addr),
						.clock(clk),
						.q(q)
	);
	always@(posedge clk or negedge Rst_n)
	if(!Rst_n)
		addr <= 8'd0;
	else begin
		addr <= addr +1'b1;
	end
endmodule

②.创建Signal TapⅡ
创建文件

③.引脚分配

④.板机验证+SignalTap监测

四.使用ISMCE工具在线修改ROM中的数据



  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
01 试看小梅哥fpga设计思想与验证方法视频:http://bbs.elecfans.com/jishu_484523_1_1.html 02 芯航线FPGA开发平台设计初衷:http://bbs.elecfans.com/jishu_514523_1_1.html 03 芯航线FPGA学习平台介绍:http://bbs.elecfans.com/jishu_514526_1_1.html 04 芯航线FPGA学习平台焊接记录:http://bbs.elecfans.com/jishu_514914_1_1.html 05 芯航线FPGA学习平台系统调试:http://bbs.elecfans.com/jishu_515169_1_1.html 06【连载视频教程(一)】科学的开发流程:http://bbs.elecfans.com/jishu_515340_1_1.html 07【连载视频教程(二)】3-8译码器设计验证:http://bbs.elecfans.com/jishu_515468_1_1.html 08【连载视频教程(三)】二进制计数器设计验证:http://bbs.elecfans.com/jishu_515476_1_1.html 09【连载视频教程(四)】高性能计数器IP核使用:http://bbs.elecfans.com/jishu_515714_1_1.html 10【连载视频教程(五)】BCD码计数器设计验证:http://bbs.elecfans.com/jishu_515893_1_1.html 11【连载视频教程(六)】例解阻塞赋值与非阻塞赋值:http://bbs.elecfans.com/jishu_516094_1_1.html 12【连载视频教程(七)】例说状态机:http://bbs.elecfans.com/jishu_516249_1_1.html 13【连载视频教程(八)】基于状态机的独立按键消抖:http://bbs.elecfans.com/jishu_516791_1_1.html 14【连载视频教程(九)】独立按键控制LED与亚稳态问题引入:http://bbs.elecfans.com/jishu_516795_1_1.html 15【连载视频教程(十)】数码管动态扫描设计与实现:http://bbs.elecfans.com/jishu_516979_1_1.html 16【连载视频教程(十一)】UART串口发送模块设计与验证:http://bbs.elecfans.com/jishu_516984_1_1.html 17【连载视频教程(十二)】UART串口接收模块设计与验证:http://bbs.elecfans.com/jishu_518308_1_1.html 18【芯航线FPGA学习平台众筹进度帖】芯航线FPGA开发板入手测试说明:http://bbs.elecfans.com/jishu_518301_1_1.html 19【芯航线FPGA学习平台众筹进度帖】芯航线FPGA学习套件配置文件固化教程 http://bbs.elecfans.com/jishu_518816_1_1.html 20【芯航线FPGA学习平台众筹进度帖】芯航线FPGA学习套件众筹回报已发放完毕,特公布运单号 http://bbs.elecfans.com/jishu_518819_1_1.html 21【芯航线FPGA学习平台众筹进度帖】芯航线FPGA学习套件众筹总结暨抽奖结果 http://bbs.elecfans.com/jishu_520454_1_1.html 22【芯航线FPGA学习平台众筹进度帖】【有奖活动】芯航线FPGA学习平台有奖活动第一季——看教程,秀笔记,赢好礼 http://bbs.elecfans.com/jishu_520463_1_1.html 23【连载视频教程(十三)】嵌入式块RAM应用之双口RAM:http://bbs.elecfans.com/jishu_520914_1_1.html 24【连载视频教程(十四)】搭建串口收发与存取双口RAM简易应用系统: http://bbs.elecfans.com/jishu_520915_1_1.html 25【连载视频教程(十五)】ROM的搭建与Signaltap II 工具使用:http://bbs.elecfans.com/jishu_522774_1_1.html 26【连载视频教程(十六)】FIFO介绍与时序验证:http://bbs.elecfans.com/jishu_522778_1_1.html 27【连载视频教程(十七)】使用PLL进行设计+Verilog参数化设计介绍: http://bbs.elecfans.com/jishu_524442_1_1.html 28【芯航线FPGA学习平台众筹进度帖】芯航线FPGA学习套件下载器驱动安装说明 http://bbs.elecfans.com/jishu_524793_1_1.html 29【芯航线FPGA学习平台众筹进度帖】Verilog语法基础讲解之参数化设计: http://bbs.elecfans.com/jishu_528770_1_1.html 30【连载视频教程(十八)】基于线性序列机设计思想的串行DAC(TLC5620)驱动: http://bbs.elecfans.com/jishu_533944_1_1.html 31【连载视频教程(十九)】基于线性序列机设计思想的串行ADC驱动: http://bbs.elecfans.com/jishu_537056_1_1.html
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值