uvm(7)factory

重载

针对任务或者函数,定义virtual;然后就可以重载

第二个是 约束的重载

然后

m_trans.crc_err_cons.constraint_mode(0);
这个是关闭此约束

m_trans.constraint_mode(0);
这是关闭所有约束

还可以集成原来的transation直接重写约束起到重载的作用

用factory重载

set_type_override_by_type语句相当于在factory机制的表格中加入了一条记录。 当查到有重载记录时, 会使用新的类型来替代旧的类型
使用factory重载需要满足条件

        此函数原型如下


把第一个参数的类型重载成第二个参数的类型。

有时候可能并不是希望把验证平台中的A类型全部替换成B类型, 而只是替换其中的某一部分, 这种情况就要用到set_inst_override_by_type函数。 这个函数的原型如下:

举例如下

当进行如下操作

把monitor更新

无论是set_type_override_by_type还是set_inst_override_by_type, 它们的参数都是一个uvm_object_wrapper型的类型参数, 这种参数通过xxx: : get_type( ) 的形式获得。 UVM还提供了另外一种简单的方法来替换这种晦涩的写法: 字符串。

与set_type_override_by_type相对的是set_type_override, 它的原型是:

与set_inst_override_by_type相对的是set_inst_override, 它的原型是:
 

但是如果想在initial中使用的话,要用如下函数

full_inst_path就是要替换的实例中使用get_full_name( ) 得到的路径值
比如这个样子

其实上述函数就是原型

如下对命令行重载

连续重载

在有多个重载时, 最终重载的类要与最初被重载的类有派生关系。 最终重载的类必须派生自最初被重载的类, 最初被重载的类必须是最终重载类的父类。
 

也就是读完连续重载的语句然后在确定最终情况

调试重载

针对上述重载

或者如下

常用重载

重载transaction

对于一个default sequence,我们需要对里面的trans做更改就可以重载

然后在set trans就可以

sequence

就是用于嵌套的seq

component

上述常用于产生异常用例,就是上面两个

其实还可以用重载driver来产生

只需在build中

用于无法用重载seq的情形

factory机制实现

factory说白了就是通过字符串来创建一个类(new)

有如下相关函数

factory.create_object_by_type(my_transaction::get_type()))
 

一般只用到第一个参数

还有

factory.create_component_by_name("my_transaction", get_full_name(), "scb", this)

第一个参数是字符串类型的类名, 第二个参数是父结点的全名, 第三个参数是为这个新的component起的名字,第四个参数是父结点的指针。 在调用这个函数时, 这四个参数都要使用

本质上来看, factory机制其实是对SystemVerilog中new函数的重载。

  • 6
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值