FPGA学习笔记5 --verilog基础语法

一、基础知识

1.逻辑值

逻辑0:表示低电平,等同于GND;
逻辑1:表示高电平,等同于VCC;
逻辑X:表示未知,可能是高电平,也可能是低电平;
逻辑Z:表示高阻态,没有激励信号,是一个悬空状态。

2.数字进制格式

数字进制格式:二进制(b)、八进制(o)、十进制(d)、十六进制(h)

二进制表示:4’b0101 表示4位二进制数字0101
二进制表示:4’d2 表示4位十进制数字2(二进制0010)
二进制表示:4’ha 表示4位十六进制数字a(二进制1010)

16’b1001_1010_1010_1001 = 16’h9AA9

注:没有注明位数和进制是系统默认为32’d,即: 100 = 32’d100 = 32’b0110_0100

3.标识符

定义模块名、端口名、信号名等。
标识符可以是任意一组字母、数字、$符号和_(下划线)符号的组合

注:标识符的第一个字符必须是字母或者下划线,标识符是区分大小写的

二、数据类型

在verilog语言中,主要由三大类数据类型:寄存器数据类型、线网数据类型和参数数据类型。
数字电路中起作用的数据类型是寄存器数据类型和线网数据类型。

1.寄存器数据类型

//reg define
reg [31:0] delay_cnt;	//表示32位寄存器(reg:关键字 []:位宽 delay_cnt:寄存器名称)
reg key_reg;			//表示1位寄存器

1.寄存器表示一个抽象的数据存储单元,通过赋值语句可以改变寄存器储存的值;
2.寄存器数据类型的关键字是reg,reg 类型数据的默认初始值为不定值x
3.reg类型的数据只能在 always 语句和 initial 语句中被赋值
1)如果该语句描述的是时序逻辑,即always语句带有时钟信号,则该寄存器变量对应为触发器;
2)如果该语句描述的是组合逻辑,即always语句不带有时钟信号,则该寄存器变量对应为硬件连线。

2.线网数据类型

//wire define
wire key_flag;			//表示1位线网类型变量

1.线网数据类型包括 wire 型和 tri 型,其中最常用的就是 wire 类型;
2.线网数据类型表示结构实体(例如门)之间的物理连线;
3.线网类型的变量不能储存值,它的值是由驱动它的元件所决定的;
4.驱动线网类型变量的元件有门、连续赋值语句、assign等;
5.如果没有驱动元件连接到线网类型的变量上,则该变量就是高阻的,即其值为z。

3.参数数据类型

代码如下(示例):

//parameter define
parameter H_SYNC = 11'd41;		//行同步
parameter H_BACK = 11'd2;		//行显示后沿
parameter H_DISP = 11'd480;		//行有效数据
parameter H_FRONT = 11'd2;		//行显示前沿
parameter H_TOTAL = 11'd525;	//行扫描周期

1.参数其实就是一个常量,在 Verilog HDL 中用 parameter 定义常量;
2.我们可以一次定义多个参数,参数与参数之间需要用逗号隔开;
3.每个参数定义的右边必须是一个常数表达式;
4.参数型数据常用于定义状态机的状态、数据位宽和延迟大小等;
5.采用标识符来代表一个常量可以提高程序的可读性和可维护性;
6.在模块调用时,可通过参数传递来改变被调用模块中已定义的参数。

三、运算符

Verilog中的操作符按照功能可以分为下述类型:

  1. 算术运算符
  2. 关系运算符
  3. 逻辑运算符
  4. 条件运算符
  5. 位运算符
  6. 移位运算符
  7. 拼接运算符

1.算术运算符

符号使用方法说明
+a + ba 加上 b
-a - ba 减去 b
*a * ba 乘以 b
/a / ba 除以 b
%a % ba 模除 b

2. 关系运算符

符号使用方法说明
>a > ba 大于 b
<a < ba 小于 b
<=a >= ba 大于等于 b
>=a<= ba 小于等于 b
==a == ba 等于 b
!=a != ba 不等于 b

3. 逻辑运算符

符号使用方法说明
!!aa的非 如果a为0,那么a的非是1
&&a && ba 与上 b 如果a和b都为1,a&&b结果才为1,表示真
丨丨a丨丨 ba 或上 b 如果a或者b有一个为1,a丨丨b结果为1,表示真

4. 条件运算符

符号使用方法说明
? :a ? b : c如果 a 为真,就选择 b,否则选择 c

result = (a >= b) ? a : b;

5. 位运算符

符号使用方法说明
~~a将 a 的每个位进行取反
&a & b将 a 的每个位与 b 相同的位进行相与
a 丨b将 a 的每个位与 b 相同的位进行相或
^a ^ b将 a 的每个位与 b 相同的位进行异或

6. 移位运算符

符号使用方法说明
<<a << b将 a 左移 b 位
>>a >> b将 a 右移 b 位

两种移位运算都用0来填补移出的空位。
左移时,位宽增加;右移时,位宽不变。
4’b1001 << 2 = 6’b100100;
4’b1001 >> 1 = 4’b0100;

7. 拼接运算符

符号使用方法说明
{}{a,b}将 a 和 b 拼接起来,作为一个新信号

c = { a, b[3:0] };

运算符的优先级

运算符优先级
!、 ~最高
*、 /、 %次高
+、 -
<<、 >>
<、 <=、 >、 >=
==、 !=、 ===、 ! ==
&
^、 ^~
&&
丨丨次低
?:最低
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

饼里个饼

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值