移位寄存器实现序列检测-Verilog

//移位寄存器实现10010检测
module Detect_10010(
	input clk,
	input rst_n,
	input data_in,
	output reg [4:0] data_out, //这里使用reg类型的原因是在always块中使用了非阻塞赋值
	output flag
    );

always @ (posedge clk or negedge rst_n)
begin
	if(!rst_n)
		data_out <= 5'd0;
	else
		data_out <= ({data_out[3:0],data_in});
end

assign flag = (data_out == 5'b10010) ? 1'b1 : 1'b0;

endmodule
//仿真代码
module sim_Detect_10010();

reg clk;
reg rst_n;
reg data_in;
wire [4:0] data_out;  //仿真的时候输出是wire类型,不可以使用reg类型
wire flag;

Detect_10010 u1(
	.clk (clk),
	.rst_n (rst_n),
	.data_in (data_in),
	.data_out (data_out),
	.flag (flag)
);

initial 
begin
	clk = 0;
	rst_n =0;
	data_in = 0;
	#30;
	data_in = 1;
	#20
	data_in = 0;
	#20
	data_in = 0;
	#20
	data_in = 1;
	#20
	data_in = 0;
end

always #10 clk = ~ clk;

endmodule
  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

马志高

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值