FPGA学习记录-Vivado工程创建、仿真、编译

4 篇文章 0 订阅
2 篇文章 0 订阅

前言

本系列文章作为对特权同学《深入浅出玩转FPGA》课程学习的记录,对课程内容进行总结,比记录遇到的问题与解决办法,以此见证个人FPGA学习历程。这篇文章主要对工程的创建、仿真、编译、烧录过程进行总结,不足之处,还请各位在评论区指出,谢谢!
开发板:SF-AT7
软件平台:Vivado 2016.2


工程创建

  • 在创建工程之前建立一个新的文件夹用于存放之后建立的工程,注意路径中不要出现中文、标点符号等,英文、下划线允许出现。
  • 如图所示,在myfpga_test下建立at7002的文件夹 - 打开之前安装好的vivado,点击create new project在这里插入图片描述
    在弹出的对话框中修改工程名与工程存放的路径,这里将工程路径直接定位到之前新建立的文件夹即可,然后点击next
    在这里插入图片描述
    下一步选择创建RTL project,即为正常的FPGA逻辑设计工程,RTL全拼为Register Transfer Level,为寄存器转换级。在RTL级,集成电路是由一组寄存器和寄存器之间的逻辑操作构成,RTL是用硬件描述语言(Verilog 或VHDL)描述理想达到的功能,不同于门电路,所以我们选择创建RTL 级工程。
    在这里插入图片描述
    下一步是添加HDL源文件,如果已经设计好源文件,点击add source可以直接添加,若没有直接next;
    接着添加IP核、约束文件,如果都没有直接跳过点击next(后续可以在工程界面直接添加);
    在这里插入图片描述
    其次,添加FPGA的型号,这要根据自己手中已有板子型号进行添加,一般型号的确定在板子提供的原理图上有,以本次工程使用的a7板子为例,打开原理图,找到FPGA的型号:XC7A35TFTG256
    在这里插入图片描述
    在搜索框中搜索该型号:(后面的-1、-2表示速度等级,A7速度等级为1,所以选择最下面的FPGA型号)
    在这里插入图片描述
    最后,点击finish,完成工程创建,创建后vivado页面如下:
    在这里插入图片描述

注意源文件名称要与工程名称保持一致,因为该源文件作为顶层文件,在源文件中完成相应功能描述


工程仿真

当设计文件按照功能要求编写完毕后,进行工程的仿真,在仿真开始之前要有仿真文件,仿真文件对当前设计做验证。若没有仿真文件,右键sim_1,选择add source即可添加,添加方式和添加源文件一样。仿真文件的编写这里不赘述。
在这里插入图片描述
源文件和仿真文件准备好后,进行仿真设置,主要是保证仿真顶层模块名称与工程中仿真文件顶层模块名称一致。
在这里插入图片描述

在这里插入图片描述
右键相应的信号,可以将波形添加到窗口,具体如图所示
在这里插入图片描述
点击run all 进行仿真,即可查看波形。
在这里插入图片描述


引脚配置

通过仿真观察波形符合功能要求是,就要开始工程综合,将代码烧录到FPGA开发板中看实际的运行效果。首先要进行引脚分配。Vivado中通过xdc文件实现引脚配置,在文件中规定引脚的电平规范与分配对应开发板中实际的引脚,添加约束文件的方式如下图所示。点击【constrain】-》【constrs_1】右键选择add source 即可添加约束文件。引脚分配一定要对照原理图,确保该引脚空闲且满足电平规范。
在这里插入图片描述


编译

Vivado的编译主要分为三步。分别为Run Synthesis(综合编译)、Run Implementation(实现编译)、Generate Bitestream(生成bit流),直接点击Generate Bitstreams会顺序执行综合编译与实现编译,生成的bit流可以利用JTEG直接烧录到板子中进行板级调试。
在这里插入图片描述
显示编译成功完成,生成bit流。

  • 3
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado 2019 是赛灵思(Xilinx)公司开发的一款集成电路设计工具,用于开发FPGA(现场可配置门阵列)和SoC(片上系统)。它提供了一整套的工具链,包括设计、仿真和烧录等步骤,以下是Vivado 2019编译仿真烧录的全过程: 1. 设计:使用Vivado 2019打开工程,并创建新的设计文件。可以使用VHDL或Verilog语言进行设计,也可以使用系统级设计语言(例如,SystemVerilog)进行高层次的建模。 2. 编译:在Vivado中,通过“综合”和“实现”两个步骤来进行编译。综合将设计文件转化为逻辑网表,将高级代码转化为底层的门级描述;实现则将逻辑网表映射到目标FPGA的具体资源。 3. 仿真:在编译完成后,使用Vivado提供的仿真工具进行功能验证。可以通过创建测试台(testbench)和添加测试向量(test vector)来测试设计的正确性。 4. 约束:为了确保设计的正确运行,需要对设计进行约束。约束可以是时序约束、引脚约束等。在约束文件中定义约束,然后在综合和实现过程中应用这些约束。 5. 生成比特流文件:编译完成后,需要生成比特流文件(bitstream)以供烧录到目标FPGA中。比特流文件是一种二进制文件,描述了设计在FPGA上的物理连接。 6. 烧录:将生成的比特流文件加载到目标FPGA中,使其成为可执行的硬件。可以使用Vivado提供的硬件编程工具,如JTAG或USB下载线,将比特流文件下载到FPGA中。 总结:Vivado 2019编译仿真烧录的全过程包括设计、编译仿真、约束、生成比特流文件和烧录等步骤。通过这些步骤,可以将设计文件转化为可在FPGA上运行的硬件。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值