UVM验证之config_db机制

set与get函数参数介绍

config_db机制用于UVM验证平台之间的参数传递。set函数为寄信,get函数为收信,它们通常成对出现。比如:在某个用例的build_phase中使用如下方式寄信:

uvm_config_db#(int)::set(this,"env.agt.driver","para",10);

set函数中,第一个参数和第二个参数组成目标路径,与此目标路径相同的目标才会成功收信。第一个参数必须是一个uvm_component实例的指针,第二个参数是相对此实例的路径。第三个参数表示一个记号,用于说明该值传给目标中的哪个成员,第四个参数是要设置的值。
在目标路径driver中的build_phase使用如下方式收信:

uvm_config_db#(int)::get(this,"","para",para_value);

get函数中,第一个参数和第二个参数组成路径。第一个参数必须是一个uvm_component实例的指针,第二个参数是相对此实例的路径。通常,如果第一个参数设置为this,第二个参数可以是一个空的字符串。第三个参数为set函数中的第三个参数,这两个参数必须完全相同,第四个参数是要设置的变量。
set和get函数执行之后,para_value的值变为10。
需要特别注意的是:在寄信和收信时,寄信必须在收信之前完成,否则将会收信失败。换言之,可以只有set函数,但是当有get函数时必须有set函数,且必须在get函数之前执行完成。

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值