6.4 1.verilogHOG测试模块

一、实验目的
使初学者很快能够熟悉ModelSim的基本,功能,更详细的软件说明和应用举例讲在后续的章节中进行介绍。

二、实验工具
pc机和Quartur ii软件和modlsim软件。

三、实验代码


module decoder3x8(din,en, dout,ex); input [2:0] din;
input en;
output [7:0] dout;
output ex;
reg [7:0] dout;
reg ex;
always@(din or en)
if (en)
begin
dout=8'b1111 1111;
ex=1'b1;
end
else
begin
case(din)
3'b000:begin
dout=8'b1111_1110;
ex=1'b0;
end
3'b001:begin
dout=8'b1111 1101;
ex=1'b0;
end
3'b010: begin
dout=8'b1111 1011;
ex=1'b0;
end
3'b011:begin
Aont-81b1111 0111.

ex=1'b0;
end
3'b100:begin
dout=8'b1110 1111;ex=1'b0;
end
3'b101:begin
dout=8'b1101 1111;ex=1'b0;
end
3'b110:begin
dout=8'b1011 1111;ex=1'b0;
end
3'b111:begin
dout=8'b0111 1111;ex=1'b0;
end
default:begin
dout=8'b11111111;ex=1'b0;
end
endcase

 

四、实验截图

五、实验视频

https://v.douyin.com/eq6USXE/

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值