fpga verilog 学习 时序逻辑计数器

基于vivado以实现led flash为案例

led_flash.v

module led_flash(
    Clk,
    Reset_n,
    Led
    );
    
    input Clk;
    input Reset_n;
    output  reg Led;
    reg  [24:0] counter;
    
    always@(posedge Clk or negedge Reset_n)
    if(!Reset_n)
        counter <= 0;
    else if(counter == 24999999)
        counter <= 0;
    else
        counter <= counter +1'd1;
    
    always@(posedge Clk or negedge Reset_n)
    if(!Reset_n)
        Led <= 0;
    else if(counter == 24999999)
        Led <= !Led;

endmodule

led_flash_tb.v

`timescale 1ns/1ns

module led_flash_tb;

    reg Clk;
    reg Reset_n;
    wire Led;
    led_flash led_flash(
            .Clk(Clk),
            .Reset_n(Reset_n),
            .Led(Led)
    );
    
    initial Clk = 1;
    always #10 Clk = !Clk;
    
    initial begin
        Reset_n = 0;
        #201;
        Reset_n = 1;
        #2000000000;
        $stop;
        end
endmodule

学习收获

<=  非阻塞赋值    在判断always@(posedge Clk or negedge Reset_n)时,使用并行,与c++不同

学习时间

1h    verilog

今日推歌

那丝也有春天   大鹏

今日总结

今天是学习Verilog的第三天,比起前两天对vivado的使用更加熟练。目前所运用的数电知识比较简单,但是数电的学习还是该继续,希望明天能记得学习。

  • 10
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值