HDL_BITS--for与always与generate

本文记录了在学习Verilog编程时遇到的两个问题:如何使用for和always结构反转100位输入的位序,以及如何利用for循环和generate结构实例化100个BCD加法器。作者强调了for变量定义、always块的使用以及generate和genvar在实例化中的应用。
摘要由CSDN通过智能技术生成

本人小白在学习Verilog语法过程中,由于没有系统的学习相关知识,因此浅浅记录一下。

题目1:给定一个 100 位输入向量 [99:0],反转其位顺序。

关键点:1、for和always的关系;2、for里面的变量i需要先定义(区别于C语言);3、verilog里面没有递增运算号++

module top_module( 
    input [99:0] in,
    output [99:0] out
);
    integer	i; //提前定义for中的变量i
    always@(*)begin  // for的使用要在always中
        for(i = 0;i < 100;i = i + 1 )begin  //区别于C的i++
            out [i] = in[99-i];
        end
    end
endmodule

题目2:

系统将为您提供一个名为 bcd_fadd 的 BCD 一位数加法器,该加法器将两个 BCD 数字相加并结转,并生成总和和结转。

module bcd_fadd (
    input [3:0] a,
    input [3:0] b,
    input     cin,
    output   cout,
    output [3:0] sum );

实例化 100 个 bcd_fadd 副本,以创建一个 100 位 BCD 纹波进位加法器。您的加法器应添加两个 100 位 BCD 数字(打包到 400 位向量中)和一个进位以生成 100 位总和并执行。

关键点:1、需要调用for循环,同时实现 实例化bcd_fadd副本。

               2、for循环需要在always块内,但是always块内又不能实现实例化。(原因:always是过程描述,而实例化是结构描述)因此,for循环实现可以在generate和genvar结构下完成。

正确结果:

module top_module( 
    input [399:0] a, b,
    input cin,
    output cout,
    output [399:0] sum );
 
    reg[99:0]	cout_to_cin;
    
    generate
        genvar i;
        bcd_fadd bcd_fadd_inst(
                    .a		(a[3:0]			),
                    .b		(b[3:0]			),
                    .cin	(cin			),
                    .sum	(sum[3:0]		),
                    .cout	(cout_to_cin[0]	)
                );
        for(i = 0; i <= 99; i = i + 1)begin: 模块名 //没有 :模块名的话,会报错block name
        bcd_fadd bcd_fadd_inst1(
                    .a		(a[4 * i + 3: 4 * i]	),
                    .b		(b[4 * i + 3: 4 * i]	),
                    .cin	(cout_to_cin[i - 1]		),
                    .sum	(sum[4 * i + 3: 4 * i]  ),
                    .cout	(cout_to_cin[i]			)
                );
        end
        assign cout = cout_to_cin[99];
    endgenerate //generate -- endgenerate 配合使用
endmodule

总结:1、在generate内部进行for循环时需要定义genvar变量一个 genvar 变量可用于多个 generate 循环。但使用同一个 genvar 变量的 generate 语句不能相互嵌套。

2、 在generate - for 循环结构中,需要对 generate 块命名,即begin:xxx

3、如果想在for循环内实例化,那么就不能采用always块,而是采用generate结构。

  • 8
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值