牛客网verilog VL26 含有无关项的序列检测

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input a,
	output reg match
	);

reg [8:0]tmp;
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)begin
			match<=0;
			tmp<=9'd0;
		end
		else begin
			tmp[8:0]<={tmp[7:0],a};
		end
	end
	
	always@(posedge clk or negedge rst_n)begin
		if((tmp[8:6]==3'b011)&&(tmp[2:0]==3'b110))begin
			match<=1'b1;
		end
		else begin
			match<=1'b0;
		end
	end
endmodule	

没有想明白为什么不能9位一起检测

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值