牛客网verilog VL36 状态机-重叠序列检测

注意看波形,是检测出结果后跳转至下一个状态的时候flag为1

`timescale 1ns/1ns

module sequence_test2(
	input wire clk  ,
	input wire rst  ,
	input wire data ,
	output reg flag
);
	reg [2:0] state;
	always@(posedge clk or negedge rst)begin
		if(!rst)begin
			state <= 0;
			flag <= 0;
		end
		else begin
			case(state)
				3'd0:begin
					if(data == 1)begin
						state <= 3'd1;
						flag <= 0;
					end
					else begin
						state <= 3'd0;
						flag <= 0;
					end
				end
				3'd1:begin
					if(data == 0)begin
						state <= 3'd2;
						flag <= 0;
					end
					else begin
						state <= 3'd1;
						flag <= 0;
					end
				end
				3'd2:begin
					if(data == 1)begin
						state <= 3'd3;
						flag <= 0;
					end
					else begin
						state <= 3'd0;
						flag <= 0;
					end
				end
				3'd3:begin
					if(data == 1)begin
						state <= 3'd4;
						flag <= 0;
					end
					else begin
						state <= 3'd2;
						flag <= 0;
					end
				end
				3'd4:begin
					if(data == 1)begin
						state <= 3'd1;
						flag <= 1;
					end
					else begin
						state <=3'd2;
						flag <= 1;
					end
				end
				default:begin
					state <= 3'd0;
					flag <= 0;
				end
			endcase
		end
	end
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值