牛客网verilog VL13 优先编码器电路①

`timescale 1ns/1ns

module encoder_0(
   input      [8:0]         I_n   ,
   
   output reg [3:0]         Y_n   
);
always@(*) begin    
    if(I_n[8:0]==9'b111111111)      
		Y_n=4'b1111;
	else if (I_n[8]==0)
		Y_n=4'b0110;
	else if (I_n[7]==0)
		Y_n=4'b0111;
	else if (I_n[6]==0)
		Y_n=4'b1000;
	else if (I_n[5]==0)
		Y_n=4'b1001;
	else if (I_n[4]==0)
		Y_n=4'b1010;
	else if (I_n[3]==0)
		Y_n=4'b1011;
	else if (I_n[2]==0)
		Y_n=4'b1100;
	else if (I_n[1]==0)
		Y_n=4'b1101;
	else if (I_n[0]==0)
		Y_n=4'b1110;

end
endmodule




//编码器,将特殊输入信号转换为二进制字符
//优先编码,本来只允许一位有效(),优先过后就是优先级别高的那位有效

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值