Xilinx FPGA中的BUFFER

FPGA大型设计中推荐使用同步时序电路,同步时序电路基于时钟触发沿设计,对时钟的周期、占空比、延时和抖动有更高的要求。为满足时序的要求,一般采用全局时钟资源驱动设计的主时钟,FPGA的主时钟一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构。

缓冲和驱动

缓冲

输入输出缓冲,主要用于片外输入时钟或者片外差分输入的信号。

差分信号和差分时钟进入片内后如果不经过IBUFGDS、IBUFDS缓冲就无法直接处理

驱动

当信号扇出过大时可以通过加BUFG增加信号稳定性

过一次BUFG有10ns左右的延时,但是通过BUFG后输出到片上所有单元的延时都可以忽略不记

Buffer的类别和作用

BUFG

1716954745330.png

BUFG是一个高扇出缓冲器,将信号连接到全局布线资源上,使得信号的延时和抖动最小

通常用于时钟网络以及其他高扇出网络,比如复位和使能信号

BUFGCE

1716954943324.png

BUFGCE具有单门控输入的全局时钟缓冲器,CE高电平有效

当CE为低电平时 O 端口输出0

BUFH

1716962343955.png

BUFH原语允许直接访问BUFG的时钟区域入口,允许访问全局时钟网络中未使用的部分,作为高速低偏移的本地路由资源(单时钟区域)

IBUFDS

1716963068218.png

支持低压差分信号输入的缓冲器,有两个输入的端口,一个为主端口一个为从端口,输入的信号相位相反

IBUFDS_GTE2

7系列器件中的Gbit 收发器输入缓冲,REFCLK应连接到串行收发器的专用参考时钟输入引脚

OBUFDS

差分信号输出缓冲器

  • 14
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值