FPGA练习:计数器

模块示意图如下:
在这里插入图片描述

端口配置清单:
在这里插入图片描述

verilog 代码:

module counter(
	clock,
	reset,
	enable,
	count);

	input clock;
	input reset;
	input enable;
	output [3:0] count;

	wire clock;
	wire reset;
	wire enable;
	reg [3:0] count;

	always @ (posedge clock) 
	begin 
		if (reset == 1) 
		begin 
			count <= 0;
		end
		else if (enable == 1) 
		begin 
			count <= count + 1; 
		end 
	end
	
endmodule 


在 always 语句块中,修改 reg 端口的值要用 <= 符号。

测试代码如下:

module counter_test();

	reg clock;
	reg reset;
	reg enable;
	wire [3:0] result;

	initial begin
		clock = 1;
		reset = 0;
		enable = 0;
		#5 reset = 1;
		#10 reset = 0;
		#10 enable = 1;
		#150 enable = 0;
		#5 $finish;
	end
	always begin
		#5 clock = ~clock;
	end
	
	initial begin
		$dumpfile ("... .../counter_test.vcd");
		$dumpvars;
	end

	counter counter1(
		.clock(clock),
		.reset(reset),
		.enable(enable),
		.count(result));

endmodule 


测试一下,看看波形图:

在这里插入图片描述

比较一下代码,和预期一样呀,真不错!

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

许野平

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值